Senior Engineer - Utilities
Staff Engineer Job 20 miles from Redlands
Today, Lonza is a global leader in life sciences operating across five continents. While we work in science, there's no magic formula to how we do it. Our greatest scientific solution is talented people working together, devising ideas that help businesses to help people. In exchange, we let our people own their careers. Their ideas, big and small, genuinely improve the world. And that's the kind of work we want to be part of.
Take on an exciting opportunity with Lonza, a global leader in life sciences, and contribute to our outstanding team as a Senior Engineer in Utilities. This role is crafted to support our ambitious growth and ongoing success at our Vacaville, CA site. Join us in driving world-class technical solutions and ensuring flawless operations in plant utilities.
Key responsibilities:
Identify, analyze, and design improvements for facility, utility, process, and other utilities equipment.
Provide technical support for all site utility systems, including water pretreatment, plant and process drains, water for injection, and clean steam systems.
Ensure uninterrupted quality supply of pharmaceuticals through technical support and compliance.
Develop and maintain engineering documentation such as P&IDs, design drawings, and specifications.
Support project documentation development and review, including schedules, budgets, URS, PEP, RFQs, and Contractor Bid Packages.
Drive and manage planning and design phases, including project initiation and detailed design.
Conduct daily process monitoring and analysis, and support discrepancy investigations.
Coordinate construction phases, managing contractors and ensuring successful project completion.
Resolve emergent issues on a 24/7 basis, including weekends and holidays if necessary.
Collaborate with Global Engineering on major projects.
Continuously improve technical infrastructure, standards, practices, and procedures.
Lead identification and implementation of process performance improvements.
Provide technical mentorship to utility operations technicians.
Provide SME support during plant audits.
Provide guidance for 'like for like' or similar parts of obsolete parts and guidance to maintenance during critical repairs.
Key requirements:
BS Engineering degree from an accredited university. Electrical, mechanical, or chemical engineering or equivalent degree is preferred.
Strong understanding and demonstrated ability with engineering principles and techniques.
Knowledge of FDA and EMA regulations, cGMPs, building codes, and related standards.
Proficiency in ISA standards and practices for instrumentation.
Knowledge of calibration theories and techniques.
Understanding of project lifecycle including initiation, design, construction, and commissioning/validation.
Effective communication and interpersonal skills with technical, operations, maintenance, quality, and senior management personnel.
We recognize that attracting, developing and retaining the best talent is key to our strategy and success as a company. As a result, we aim for flexibility in structuring competitive compensation offers to ensure that we are able to attract the best candidates. As required by law in this state, the quoted salary range for this position is $129,000-$219,000. We use broad salary ranges that reflect the competitive market for similar jobs, provide sufficient opportunity for growth as you gain experience and expand responsibilities; while also allowing for differentiation on performance based on the breadth of our ranges, most new hires will start at the company between the lower and the middle part of the applicable range. We tailor our offers within the range based on job-related factors, including organizational needs, internal equity, market data, geographic area and the selected candidate's experience, education, industry knowledge, location, technical and/or communication skills and other factors that may prove relevant during the selection process. This range is only inclusive of base salary, and base pay is just one component of Lonza's total compensation package for employees. Full-time employees are eligible to receive a performance-related bonus, as well as a comprehensive benefits package including medical and dental coverage, 401k plan, life insurance, short-term and long-term disability insurance, an employee assistance program, paid time off (PTO), and more.
Every day, Lonza's products and services have a positive impact on millions of people. For us, this is not only a great privilege, but also a great responsibility. How we achieve our business results is just as important as the achievements themselves. At Lonza, we respect and protect our people and our environment. Any success we achieve is no success at all if not achieved ethically.
People come to Lonza for the challenge and creativity of solving complex problems and developing new ideas in life sciences. In return, we offer the satisfaction that comes with improving lives all around the world. The satisfaction that comes with making a meaningful difference.
Lonza is an equal opportunity employer. All qualified applicants will receive consideration for employment without regard to race, religion, color, national origin, sex, sexual orientation, gender identity, age, status as a qualified individual with disability, protected veteran status, or any other characteristic protected by law.
Rotating Equipment Engineer
Staff Engineer Job 31 miles from Redlands
At Technip Energies, we are more than just a leading engineering and technology company; we are pioneers committed to shaping a sustainable future. With over 65 years of experience and 15,000+ talented professionals worldwide, we deliver innovative solutions to real-world energy challenges. We believe in the power of collaboration, diversity, and an inclusive culture where your well-being is a top priority.
Join us on a one-of-a-kind journey where you can take pride in Being Part of the Solution for the benefit of our planet, people, and communities. If you share our vision of driving the transition to a low-carbon future and are eager to develop your skills for tomorrow, then this could be the perfect opportunity for you. We are currently seeking a Principal Rotating Engineer, to join our team based in Claremont, CA.
About The Job
The Principal Rotating Engineer must be familiar with and have the ability to perform engineering analysis of the equipment design, specification and selection of rotating machinery and auxiliaries. This candidate must have strong interpersonal, oral, and written communication skills. Must be capable to interface with clients, equipment manufacturers, other engineering disciplines. Also, this candidate must have knowledge of the applicable codes, standards and practices related to rotating equipment.
Responsibilities
Ownership of the complete gas compression system on assigned projects.
Review gas compression system design.
Prepare compressor design, engineering, and performance requirements.
Perform technical evaluation of gas compression systems, and recommend optimum selection.
Prepare technical specifications and equipment performance requirements.
Perform technical evaluation of all types of rotating machinery and auxiliaries
Recommend the optimum alternative from a list of systems or equipment
Review system design associated with rotating equipment
Review and approve drawings, P&IDs, technical documents, inspection reports, etc.
Works within a multi-discipline engineering environment.
Interface with clients and equipment suppliers
Ensure equipment compliance to technical requirements.
Estimate man-hour and cost of systems and equipment
Manage man-hour and cost on assigned project.
Analyze and troubleshoot field problems
File technical reports and make recommendations to resolve field problems.
Participate in HAZOPs, operability, constructability, criticality reviews.
Qualifications
Bachelors Degree in Mechanical Engineering or related field
Have at least 10 years experience working with rotating equipment in the Oil & Gas, Power, or related industry.
PE license preferred
Strong engineering fundamentals
Proven experience with API-617 & API-618 compressors and auxiliaries
Benefits:
Base Salary: $125,000 - $159,000
Competitive benefits package
Hybrid work schedule
Chance to work with a diverse team and experts in a growing industry
Collaborative and innovative work environment with opportunities to contribute to cutting-edge projects
What's next?
Once receiving your application, a recruiter performs an initial check between your skills and qualifications with our stated requirements prior to a detailed and focused review by the hiring manager. We expect to take up to a few weeks to perform that review. You will hear from us, if we feel your skills and experience are a match, and we want to organize interviews and meet you physically or virtually depending upon the location. To have an overview of the recruitment process, please visit our dedicated webpage here
We invite you to get to know more about our company by visiting *********************** and follow us on LinkedIn , Instagram for company updates.
It is the policy of Technip Energies to provide equal opportunity for all qualified persons and not to discriminate against any applicant for employment because of race, color, religion, national origin, sex, sexual orientation, age, disability, veteran status, citizenship, or any other characteristics protected by federal, state or local law at the Technip Energies location to which this application is submitted. In Addition, as a Federal Government contractor, Technip Energies is an affirmative action employer. If you require accommodation during the application process, please contact the local Human Resources Department.
Product Development Engineer
Staff Engineer Job 42 miles from Redlands
Job Responsibilities:
Support the development of new devices & accessories while addressing effectiveness and performance needs
Support the development of new & innovative products to unlock future growth and bridge existing product/technology gaps
Mechanical design, and materials selection, but also have breadth to support multiple different research projects, prototypes, and clinical apps
Support project management across groups and vendors and have the ability to work in an interdisciplinary team
Commitment to high product quality
Job Requirements:
BS Physics, Mechanical Engineering, Materials Science or related engineering or scientific discipline required
Experience with orthopedics, spinal instruments/devices, and/or biologics
Support all aspects of early-stage projects to final product
Self-starter, takes initiative
Proficiency using CAD to design and document mechanisms; SolidWorks preferred
Hands-on engineering with ability to work well in a team environment
Excellent written and communication skills
Project management experience a plus
Senior SoC Design & Integration Engineer
Staff Engineer Job 42 miles from Redlands
Xceleirum is a fabless semiconductor company developing advanced edge processors that bring AI processing to high-bandwidth sensors and wireless devices, unlocking hidden insights from every RF signal.
Working at Xcelerium will provide an opportunity to work on a complex development from the ground up and become familiar with cutting edge technologies such as the wireless signal processing, computer vision, sensor fusion, machine learning and the opportunity to create and implement ground-up the latest VLSI methodologies with a collaborative, innovative team. In addition, the application domains will be 5G, UAVs/Drone, Robots, and Autonomous Vehicles which provide enormous scope for growth and making an impact.
About the Job
We are seeking a Senior SoC RTL Design & Integration Engineer to lead the SoC chip-top RTL design and integration, ensuring smooth and efficient integration of all subsystems, IPs, and hard macros into a complete SoC design. The engineer will be responsible for RTL implementation, synthesis constraints, I/O padring design, power/thermal analysis, and physical design collaboration, helping to drive timing closure and system-level optimizations.
This role requires deep expertise in SoC architecture, RTL design, synthesis, timing, and physical implementation, as well as experience working across disciplines, including DFT, Physical Design (PD), Power/IR Drop analysis, and Package Integration. The engineer will collaborate closely with the Senior DFT Engineer to ensure seamless DFT integration at the SoC level.
Responsibilities
SoC RTL Design & Subsystem Integration
Own the chip-top RTL design and integration, ensuring seamless functionality across subsystems.
Integrate internal and external IPs, including CPU cores, analog IP, memories, and peripherals.
Work closely with subsystem RTL teams to ensure a smooth SoC-level integration.
Develop and maintain a modular, scalable, and synthesizable RTL codebase.
I/O Ring (Padring) & Hard Macro Integration
Design and implement the SoC's input-output (I/O) ring (padring).
Ensure proper integration of hard macros, including PLLs, PMUs, SRAMs, and PHYs.
Define ESD protection and power domain partitioning strategies at the SoC level.
Timing, Synthesis, and Physical Design Collaboration
Define and validate timing constraints (SDC) and synthesis constraints for the SoC.
Work with the Physical Design (PD) team on SoC-level floorplanning, placement, and routing.
Drive timing closure efforts, ensuring SoC-level clock domain crossings (CDC) and reset strategies are robust.
Power, Thermal, and IR Drop Analysis
Collaborate with PD teams to optimize power distribution networks (PDN) and minimize IR drop issues.
Ensure SoC meets thermal design power (TDP) constraints and package thermal limits.
Work with package engineers to address package design, parasitic noise, crosstalk, and electromagnetic interference (EMI).
Collaboration with DFT & Test Engineering
Work closely with the Senior DFT Engineer to seamlessly integrate scan chains, BIST controllers, and JTAG structures at the SoC level.
Ensure SoC design-for-testability (DFT) readiness, including boundary scan, scan compression, and ATPG pattern validation.
Support post-silicon validation and debugging efforts in collaboration with test engineering teams.
Qualifications
8+ years of experience in SoC RTL design, integration, and implementation.
Strong expertise in SystemVerilog and HDL-based RTL design for complex SoCs.
Experience in chip-level integration, including IPs, hard macros, and analog/digital interfaces.
Solid knowledge of clocking architectures, CDC, and reset domain crossings (RDC).
Hands-on experience with timing constraints (SDC), STA, and timing closure.
Experience working with EDA tools:
Synthesis: Synopsys Design Compiler, Cadence Genus, or equivalent.
Timing Analysis: PrimeTime, Tempus, or equivalent.
Physical Implementation Collaboration: Floorplanning and power/thermal analysis.
Understanding of PDN design, IR drop, power integrity, and package constraints.
Familiarity with DFT methodologies, including scan, BIST, and ATPG integration.
Strong problem-solving skills and the ability to work in a cross-functional engineering team.
Bachelor's/Master's/PhD in Electrical Engineering, Computer Engineering, or a related field
Compensation And Benefits
We provide competitive compensation and benefits
Senior Engineer, Design Assurance QA R&D
Staff Engineer Job 42 miles from Redlands
(HSO)
HOYA Surgical Optics (HSO) is the globally leading Preloaded Intraocular Lens (IOL) focused company that provides technologies and solutions that exceed expectations, enabling ophthalmic surgeons to focus on restoring sight. We are dedicated to improving the vision and quality of life for millions of people suffering from cataract, and helping them celebrate life visually.
HSO empowers cataract surgeons all over the world with innovative ophthalmic devices and services, with an attention to detail that helps them achieve better surgical outcomes and better practice results. Our commitment to delivering these results is grounded upon a quality management system that is continuously improving to meet changing global regulatory requirements and our entrepreneurial drive to be the brand of first choice.
At HSO, People is the key factor to our success. We aim to create a conducive work environment for our employees around the globe, and to promote an inclusive culture based on our core values - Excellence, Passion, Innovative, Collaboration, and Accountability.
HSO way of working
In fulfilling our roles, we are
Accountable for our own capabilities and development.
Focused on delivering results without excuses, and
Alive to the sensitivities, risk, and impact we have on others and work in a way that helps others do their work effectively.
We are looking for people who
See beyond self and are impact focused.
Consider the needs, challenges, and objectives of every person they interact with
Exhibit positive reinforcement to help others succeed.
Job Description
About the role
Works closely with and have impact on: Global R&D team, Post market surveillance and Regulatory Affairs
Reports to: Senior Manager QA R&D HSOU, Global QA & Compliance
Role Overview
The primary function of this position is to manage the Design Assurance activities for assigned projects in QA R&D Portfolio. This position collaborates with global cross functional team to achieve quarterly, midterm, and annual objectives and works under minimal supervision.
The Senior Engineer, Design Assurance QA R&D is also responsible for ensuring that products meet quality goals and regulatory requirements, including but not limited to FDA Regulations, ISO 13485, EU MDR requirements, MDSAP requirements, Usability Engineering per IEC-62366-1 and Risk Management per ISO 14971.
Duties and Responsibilities
Partner with R&D teams in the USA, Japan, and Singapore to ensure that design control is completed in accordance with procedures, Work Instructions (WI), regulatory requirements, and assure that required outputs have been completed
Manage all design assurance and usability engineering activities for R&D projects
Ensure Design History Files (DHF) and all project design documentation are properly maintained in the Documentation Control System (i.e., Master Control).
Ensure all design control deliverables, drawings are approved at the respective design gates
Review design review materials to ensure consistency in the format and information reflected in the respective design gate
Act as a core team lead for design control projects to review design verification protocols and reports, verify the requirement and criteria set are consistent in all design control deliverables, and advise on the approvers to be included for the documents.
Assist in the development and approval of design development plans, verification and validation protocols, reports, master V&V plans and reports, and design transfer
Review documents for labelling
Review documents for biocompatibility studies per ISO 10993-1 biological evaluation of medical devices
Review and approve R&D documents (e.g., IQ , OQ, PQ, WI, etc.) within areas of responsibility
Review design transfer documents and coordinate transfer to both manufacturing and Regulatory Global Technical Dossier
Process improvements initiatives within Design Assurance and Usability Engineering in line with regulations, standards and internal policies and procedures
Maintain and improve global operating procedures and work instructions
Support lifecycle management of marketed products
Conduct design assurance training to global R&D teams and other functions as needed
Support internal or external audits as needed with respect to R&D projects and related documentation
Create corrective action plans for findings from internal and external audits concerning design control procedures and deliverables
Manage design change control for design control projects in accordance with SOP
Thoroughly review R&D technical reports to ensure quality and minimize deficiencies
Support and foster a performance driven/oriented culture
Requirements
Experience
At least 10 years of experience in medical devices
At least 6 years of working experience as Design Assurance and/or Design QA or QA R&D Engineer in Medical Device/ Pharmaceutical product or optical engineering business field.
Experience with New Product Development (NPD) projects
Experience in test method validation.
Demonstrated knowledge of Design Assurance requirements per FDA Regulations, ISO 13485, EU MDR requirements, MDSAP requirements, Risk Management per ISO 14971, Usability Engineering per IEC-62366-1, Testing and Calibration per ISO 17025 and Biocompatibility studies per ISO 10993
Skills/Accreditations
Analytical mind capable of analyzing a broad spectrum of engineering and life science areas
Having a proven drive for results, is highly tenacious and persistent in the face of obstacles to ensure strategies are implemented over long term effectively
Demonstrated experience working and leading in a matrix organization and in global teams (i.e., relationships with other departments, understanding of cross-functional priorities)
Excellent written, verbal and presentation skills in English required as this is a global role.
Strong intercultural experience
Ability to work collaboratively with scientist, engineers and other leaders
Strong project management skills
Strong interpersonal, communication skills, and problem-solving skills
Understanding of the medical device business, preferred in ophthalmology
Working knowledge of US, EU and JP standards for medical devices is desired
Excellent leadership skills & role model of HOYA Surgical Optics core values (i.e. Excellence, Passion, Innovative, Collaborative, Accountability)
Ability to drive and execute responsibilities under minimal supervision
Academic Qualifications
BS degree in Engineering or Life Sciences with 10 years of relevant experience; MS preferred
Auditor or Lead Auditor Certification for ISO 13485 and/or MDSAP preferred
ASQ Certification (CQE or CQA) preferred
Six Sigma Green Belt certification preferred
Travel requirements
Up to 20%
USA domestic travel, and 1-2 international travel mainly Japan, Thailand or Singapore.
By submitting your application, you consent to HOYA Group collecting, disclosing, and retaining your personal data for employment assessment and verification purposes.
If you are shortlisted, we will contact you within three weeks of your application. Thank you for your application and understanding.
Staff Electrical Engineer
Staff Engineer Job 42 miles from Redlands
Diality Inc, a privately held medical device company located in Irvine, CA is committed to improving the quality of life for patients with kidney disease. We are developing a smart, flexible, portable hemodialysis machine that will enable patients to self-treat at home or wherever they travel. The extremely versatile hemodialysis solution will allow more patients to benefit from their true dialysis prescription at home or in a variety of care settings, including nursing homes, hospitals, and dialysis centers, without the need for pre-mixed dialysate bags or external water-treatment systems.
The Staff Electrical Engineer will lead the development of electrical systems for hemodialysis devices. Additionally, the role involves independently planning and coordinating detailed phases of cross functional engineering activities for the assigned project; and leading sub-system integration, verification and troubleshooting; Responsibilities include designing electrical components, parts or sub-systems of significant difficulty; determining resolution of advanced/complex technical issues, and continuously reviewing project team progress and results.
Responsibilities:
Provides solutions to various issues in creative and effective ways.Di
rects the application of existing principles and guides development of new policies and ideas within the function.
Understands and works on complex issues where analysis of situations or data requires an in-depth evaluation of variable factors.
Exhibits advanced wide-ranging experience, using in-depth professional knowledge, acumen, concepts, and company objectives to develop, resolve complex models and procedures.
Conducts engineering activities for all aspects of electrical design to ensure product features, performance and quality are met within the cost budget and delivered on time.
Identifies and specifies electronic subsystems and boards.
Documents and analyzes design requirements for implementation.
Designs, models, simulates and tests complex electronic circuits, subsystems and systems.
Creates schematic drawings, guides PCB layout, generates BOMs and build packages, and coordinates with contract manufacturer on board fabrication and assembly.
Diagnoses and proposes solutions for electrical system issues.
Supports system integration and troubleshooting, verification and validation, including safety, EMC and reliability.
Applies risk, failure analysis, and root cause analysis as required within the engineering discipline.
Works with production to ensure manufacturability and testability of electrical designs.
Ensures all the design activities and documents meet the regulatory and QMS requirements.
Qualifications & Requirements:
Bachelor's degree in science or engineering
Minimum 8 years of related experience or 6 years and a Master's degree
Proficient with electronic circuits design, including power, analog and digital components and applications.
Proficient with EDA tools to do schematics and layout design
Proficient with lab instruments to do measurement and troubleshooting.
Understanding of design for manufacturability and reliability, with full product life cycle experience.
Knowledge of IEC60601 series standards, experience with test and troubleshooting of electrical safety and EMC.
Understanding of Medical Device QMS and design control, familiar with PDM/PLM system and change control process.
Excellent communication and presentation skills
Show high initiative and collaboration, be self-motivated, and have ability to act independently on technical matters.
Experience in hemodialysis/hydraulic electrical system design, familiar with motor driver design, sensor signal processing is a plus.
Experience with project or technical management is a plus.
Physical Demands:
Sustained periods of time standing and sitting in a laboratory
Sitting at a desk utilizing a computer
Some lifting of
Working Environment:
Typical office areas with offices, cubicles and conference rooms. Typical laboratory and chemical storage areas which may contain hazardous compounds requiring adherence to safe handling practices.
Travel:
Willingness to travel domestically as needed (up to 10%) primarily to visit vendors and attendance at select conferences.
Senior Product Design Engineers
Staff Engineer Job 42 miles from Redlands
California, USA
Salary range: USD 115,000 to USD 150,000 basic (AUD 174,000 to AUD 227,000)
Sponsorship available
Generous relocation and assistance package
Exciting opportunity to be part of a US based consumer product design and manufacturing company, who are passionate about their brands and delighting their customers with innovative and high-quality products. They are now driving further development across their brands and looking for Senior Product Design Engineers to help shape their new Product Innovation Team.
About the Company
My client is a US based consumer products design and manufacturing company, who are passionate about their brands. and delighting their customers with innovative and high-quality products.
The Role
Focused on new product creation, the Senior Product Design Engineers will support the Head of Product Innovation, driving front-end roadmap development in existing product categories as well as new and merging consumer sectors. The role will sit between front-end ideation, design and engineering to create prototypes and test solutions that align with established insights/experience, marketing and brand teams. Concepts will be created then tested with consumers, prototyped and then validated in the US, with support from the established R&D/DFM teams in China, culminating in full launch into manufacturing.
You will be based in their new facility in Orange County, California with some short stay travel to China, to work with the DFM and Product Development teams. The client is focussed on sponsoring and supporting Australians citizens, as this is an approved route via the US Immigration Department. This position comes with a full and tailored relocation package and assistance.
Skills and experience
Bachelor's degree in a relevant Design/Engineering discipline; Industrial Design, Product Design, Mechanical Engineering or equivalent
Circa 10+ years of experience in consumer products, designing and developing concepts for international consumer markets
Working knowledge of electrical/electronic controls would be advantageous
Hands-on experience designing and developing world-class products from ideation and proof-of-concept/test rigs to hand-over for detail design and manufacturing.
Ability to play an integral part of this exciting and challenging journey for new products
Benefits
• Medical/Dental/Optical insurance cover (100%) for employees, spouses & dependents
• 401k with 4% employer match and immediate vesting
• Generous Leave entitlements (paid)
• Life, Disability, Voluntary Life, Critical Illness, Accident Insurances
• Healthcare & Dependent Care
• Travel Assistance Program
• Employee Assistance Program
• Gym/ Wellness Membership
• Fully stocked kitchen/breakout area
• Full and flexible relocation assistance package
Electronic Test Design Engineer
Staff Engineer Job 42 miles from Redlands
Are you looking to work in an exciting and fast-paced environment? Does designing and integrating test systems and Test Program Sets (TPS) sound like something that would interest you? We deal with some of the biggest names in commercial and military electronic systems and sub-systems, and we're looking for some bright engineers to join our team.
Marvin Test Solutions
We are a premier aerospace Test & Measurement company located in Irvine, California. Innovation has been at the center of MTS since 1988. We're dedicated to advancing our customers' success by designing and delivering innovative test solutions that combine quality, performance, and ease of use. We're growing and searching for qualified test engineers for our new programs.
Your role as ETD Engineer
Design and integration of hardware and software are just a part of your daily duties. You'll also be working closely with members of your team to test, assemble, and validate various test systems and programs. Some of the other duties involved include:
Design, configure, and manufacture customized ATE systems and Test Program Sets development
Perform circuit analysis of complex systems, sub-systems, LRUs and, SRUs to identify the necessary system resources for test system development
Establish all HW and SW requirements for test systems, ITAs, cables, and harnesses using COTS items when possible
Select and procure materials and parts
Generate required documentation, test procedures, schematics, wiring diagrams, specifications, mechanical drawings, and work orders
Participate in PDRs, CDRs, and Acceptance Test Procedures with project teams and customers
Perform hands-on test code generation, HW/SW debug, integration, fault isolation, and diagnostics
What qualifies you?
We're always looking for curious, hard-working candidates that are willing to learn tricks of the trade. Since the role is highly technical, certain requirements are a must for us to consider you for the role:
BSEE or equivalent
Thorough understanding of analog & digital electronic circuits
3 years' experience designing circuits using schematic capture packages such as Altium, Orcad, Cadence
Proficient with standard test instrumentation and equipment such as DMMs, Oscilloscopes, Power Supplies, Function Generators, Data Acquisition Systems, DIO
Your potential contribution
Possessing excellent analytical and troubleshooting skills will serve you in this role. An ability to prepare and present technical data and engineering reports to development team members and customers is also a must. In addition to that, experience or knowledge of the following is a big plus:
Designing analog and digital circuits
Developing TPSs for microprocessor-based circuits, and MIL-STD-1553/MIL-STD-1760 products
Windows-based test software development with design tools such as ATLAS, ATEasy, LabView, Visual Basic, Visual C++, LabWindows, etc. (3 years minimum)
Proficient with Microsoft's suite of programs (Outlook, Excel, PowerPoint, Project and Word)
Perks & Benefits
We offer a comprehensive and competitive benefits package, with exceptional health care coverage options and premiums unmatched in the industry. Our commitment to a healthy work-life balance promotes peace of mind whether you're in the office or at home with your family.
100% coverage of top-notch medical, dental, and vision health premiums for you and your dependents by the company.
Long term disability options
Maternity/Paternity leave (eligibility determined by state)
401(K)- with matching plan
Legal plan
Paid Basic Life and AD&D Insurance Benefit
Paid Long Term disability
Voluntary insurances available including Critical Illness, Accident Insurance and additional Life and AD&D
Minimum 2 weeks of paid vacation + paid holidays+ sick time. Starting Personal Time Off (PTO) is 25 days per year.
Flexible spending accounts (FSA)
Professional development training and options for tuition reimbursement
Non-stop team fun activities including bagel Fridays, monthly birthday celebrations, quarterly lunches, and annual picnics.
Corporate swag bag for new employees
Join our Mission
Dedication, Innovation, Recognition, and Courtesy are our core values at Marvin Test Solutions. We are an equal opportunity employer committed to a diverse workforce, and we consider this to be the foundation empowering both professional success and personal fulfillment. If this resonates with you, send your resume to *******************.
Sr. Cabin Design Engineer
Staff Engineer Job 42 miles from Redlands
Virgin Galactic is seeking a Sr. Cabin Interiors Design Engineer eager to participate in innovative and unique aerospace vehicle design activities. The responsibilities will range from design reviews to the review of verification and validation plans. The primary tasks involve with supporting the on time release of the drawings and models as well as assuring the timely production of parts by supporting the design iterations.
Primary Responsibilities:
Support engineering efforts in the review of supplier designs to ensure fit, form and function while being within weight budgets.
Support engineering efforts in the review and release of supplier provided drawings.
Collaborate with internal stakeholders and supplier throughout design and production.
Support development and review of validation and verification plans.
Support production and operations teams to ensure readiness for flight. This includes involvement in fabrication, implementation, and MRB activities.
Assist authoring various manuals/templates such as design standard and best practices, procedures, and processes.
Coordinate with various engineering stakeholders during the design, production, integration, test, delivery, and operation of air/space vehicles. Provide design engineering support by answering questions and requests from internal or external customers.
Prepare clear and concise reports that collect, analyze, and summarize information.
Participate in the continuous improvement of design practices, procedures, and technologies.
Mentor and participate in knowledge transfer/training of teammates.
Required Skills & Experience
Bachelor's degree or higher in Aeronautical, Astronautical, Aerospace, or Mechanical Engineering from an accredited institution.
8+ years' experience with a strong emphasis in design for aerospace vehicles.
Knowledge of and experience with design of Cabin interior mechanical parts or similar, including Seats, Side Walls, Bulkhead, Composite parts, Stowage, Floors, etc.
Experience with CATIA V5/6 or similar CAD software required. Experience with CATIA 3DX and model-based definitions are strongly preferred.
Working knowledge of design using GD&T standards and tolerance analysis.
Ability to develop technical solutions to complex problems that require regular ingenuity and creativity.
Experience with Product Lifecycle Management tools (PLM) and configuration management. (Enovia or 3DEXPERIENCE a plus)
Excellent decision-making skills with attention to details.
Excellent verbal and written communication skills.
Team player, highly dependable, strong work ethic, organized with excellent time management skills.
Preferred Skills & Experience
Experience Aircraft or spacecraft interiors is a plus.
Experience with testing and part 25 is a plus.
Experience with composite modeling and manufacturing is a plus.
Experience with prototype, development, experimental, and/or innovative products.
Hands-on experience with equipment assembly, fabrication, and installation.
Comfortable working in a creative/fast-paced environment.
Senior Design Engineer 2721
Staff Engineer Job 42 miles from Redlands
Lead the integration and package a wide variety of lighting components in CAD, such as headlmaps, light pipes, functional lamps and signalling lamps for the assigned vehicle lines.
Work with the assigned design release engineers to optimize the design with special focus on cost, mass and part count
Focus ideas on combining and eliminating redundancy and components to streamline designs and manufacturing processes
Push the limits to optimize vehicle mechanical architecture, and electrical system integration into the overall product and process, driving engineering tradeoffs to optimize performance, cost, and mass
Partner with manufacturing engineering to drive new ideas to minimize assembly time, drive designs that deliver high first pass factory yields, and high field reliability
Collaboratively design mechanical components by interfacing with multiple business functions within the company in support of Body Lighting such as Studio, Purchasing and Exteriors.
Develop and document component mechanical functional requirements based on product requirements for custom, semi-custom, and off-the-shelf components
Consider all aspects of integration such as UI/UX, EMC, service, manufacturing build feasibility, thermal, durability, mounting, ensuring correct clearances, NVH, water/dust ingress, component functionality, perceived quality, etc
Complete component design verification plans to ensure we deliver low mass, low cost, yet durable parts and modules to the vehicle program
Perform other duties as required or assigned within the scope of the position
Requirement:
B.S degree (or above), in mechanical engineering, or relevant equivalent experience
Ability to identify optimization opportunities from CAE/testing results
At least 5+ years industry experience within automotive or other related industries
Be a proficient CAD designer, ideally within Catia V5 / V6 / 3DX, though other equivalent systems will be considered. Advanced surfacing and solid modelling expertise is preferred, along with strong CAD structure/organizational skills
B.S degree (or above), in mechanical engineering, or relevant equivalent experience
Excellent knowledge of manufacturing methods such as sheet metal brake-press and stamping, injection molded plastic, cast metals, CNC machining, 3D printing etc
Experience designing components and /or systems that include mechanism design, thermal design, PCB integration, plastic molding and class A surface integration
Workable knowledge with DFMEA principles and execution
Manufacturing Engineer
Staff Engineer Job 23 miles from Redlands
The Manufacturing Engineer will be responsible for creating and maintaining comprehensive technical documentation, including user manuals, service guides, and assembly instructions, to ensure smooth manufacturing processes. This role will involve designing product visualizations such as 3D drawings, exploded views, and animations to support product assembly and training. The Engineer will work closely with manufacturing teams, vendors, and engineering departments to optimize processes, improve assembly procedures, and ensure that documentation meets regulatory and quality standards. A strong emphasis will be placed on collaboration across functions to troubleshoot issues, maintain version control of technical documents, and ensure product compliance with industry certifications. Additionally, this role will support the development of training materials and tools necessary for efficient and safe product assembly and maintenance.
Key Duties & Responsibilities
Technical Documentation & Product Visualization
Create and maintain user manuals, service manuals, and assembly guides with clear, well-structured content.
Develop 3D drawings and illustrations, including exploded views for product assembly and technical documentation.
Design product labels that align with brand, regulatory, and manufacturing requirements.
Assist in the development and editing of training videos for product usage, assembly, and maintenance.
Utilize rendering tools to enhance product visualizations and create assembly animations for training.
Maintain version control of all technical documents and update materials as needed.
Manufacturing & Engineering Support
Collaborate with factories and production teams to develop work instructions, SOPs, and assembly process documentation.
Work closely with teams from engineering, quality, and our vendors/factories to ensure that technical documents reflect accurate manufacturing processes.
Support factory process optimization by identifying and documenting assembly improvements.
Assist in designing jigs, fixtures, and tools documentation for manufacturing.
Quality Control & Compliance
Ensure all technical documentation meets regulatory standards and industry certification requirements (CE, FCC, UL, etc.).
Conduct quality control checks on documentation to ensure alignment with manufacturing and safety standards.
Participate in failure analysis and root cause investigations, ensuring proper documentation of findings and corrective actions.
Cross-Functional Collaboration & Vendor Communication
Work closely with overseas vendors and factories to obtain technical specifications and clarify manufacturing requirements.
Assist in troubleshooting hardware-software integration issues in production and assembly.
Provide clear technical reports to management, including manufacturing bottlenecks and recommendations for process improvement.
Experience
Demonstrated ability in technical writing for user manuals, service manuals, and assembly guides.
Experience in creating 3D exploded views and step-by-step assembly guides.
Proficient in designing product labels for consumer or industrial products.
Familiar with documentation management systems and version control processes.
Collaborative work with manufacturing teams, suppliers, and production engineers.
Experience with hardware-software integration, particularly in fitness or embedded systems.
Hands-on experience with mechanical or electronic assembly processes (a plus).
Experience with video editing tools for training content (a plus).
Experience in the fitness industry, consumer electronics, or industrial manufacturing (a plus).
Knowledge & Skills
Strong knowledge of manufacturing documentation, assembly procedures, and quality control standards.
Proficiency in 3D drawing and rendering tools (e.g., SolidWorks, or similar).
Strong understanding of text editing tools, including Adobe InDesign and Microsoft Word.
Basic knowledge of product certification processes (e.g., CE, FCC, UL).
Strong problem-solving and troubleshooting skills, especially in manufacturing and product integration.
Knowledge of CAD-based modeling and rendering for technical illustrations and manufacturing guides.
Understanding of service procedures, repair documentation, and warranty processes.
Ability to speak or understand Mandarin to communicate with overseas vendors (a plus).
Knowledge of video editing tools for training content (a plus).
Education
Bachelor's Degree in engineering or related field preferred.
There are so many reasons to join us here at Centr but here are just a few:
Competitive salary package, commensurate with your skills and experience
Open and modern working environment with a great team
Opportunity to work with modern technology and products
Smart, motivated and collaborative coworkers who are here to support your growth
Engineering Designer
Staff Engineer Job 34 miles from Redlands
KWC Engineers is a professional services company, providing Civil Engineering, Planning and Surveying, and other engineering construction services to clients in the private and public sectors throughout Southern California. Through a strategic approach, valued relationships, resolute team members, a culture of leadership development, and career growth. KWC Engineers is committed to providing innovative solutions with a consistent level of quality.
We are seeking a full-time Civil Engineering Designer to work under the guidance of a Project Manager. The annual salary ranges from $85,000 to $110,000, depending on licensure and experience.
In this role, you will be responsible for creating design layouts, preparing engineering plans and specifications, and assisting with cost estimates for residential, commercial, and public works projects. Success in this position requires a strong commitment to design standards, agency approval processes, and meeting client expectations.
Job Duties:
Prepare preliminary and final engineering plans for residential, commercial and industrial projects in Southern California: grading, street and utility improvements.
Drainage design experience, along with Water Quality mitigation for low impact development applications.
Preparation of technical reports and calculations (i.e., hydrology/hydraulics, WQMP, SWPPP, and water & sewer).
Ensure quality of design and implement innovative ideas through supervision, participation, plan reviews and training of junior staff.
Mentoring less experienced staff
Use originality and ingenuity to provide technical innovations by devising practical and economical solutions to problems in our own or closely related disciplines.
Manage technical aspects of projects showing strong proficiency in the preparation of construction plans, reports, and documents for land development projects.
Aid project manager in client/agency interaction, endeavor to build lasting relationships.
Monitors project budgets and hours assigned to specific tasks.
Qualifications:
Bachelor's degree (B.S.) in Civil Engineering and/or a Minimum 2 years of experience with managing multi-disciplined land development engineering tasks.
Engineer in Training (EIT) certification.
Experience in working with survey data to prepare base maps.
Experienced in preparing and checking infrastructure improvement plans for public agencies.
Proficiency in AutoCAD, Civil 3D, Civil Design, H2OMap Sewer, and Water is highly desired.
Experience in engineering design for land development projects.
Problem solving, sound judgment, decision-making, communication, and team building skills.
Benefits:
KWC Engineers offers a robust total reward package, including 100% employer paid health coverage for employees, vision, dental, a 401(k) plan, paid holidays, and competitive PTO. We are a family-first organization and allow a flexible, hybrid work schedule to accommodate personal time. Our benefits package is always changing to find special ways to support and care for our Team.
To support career growth and leadership development, KWC encourages employees to work in-person at one of our office locations while also offering a Hybrid work environment. Our employees can collaborate and contribute to the KWC team from any of our office locations.
KWC is an Equal Opportunity Employer; employment with KWC is governed based on merit, competence and qualifications and will not be influenced in any manner by race, color, religion, gender, national origin/ethnicity, veteran status, disability status, age, sexual orientation, gender identity, marital status, mental or physical disability or any other legally protected status.
Design Engineer
Staff Engineer Job 42 miles from Redlands
Perform benchmarking and create new concept.
Perform packaging study
Work with suppliers to assure parts/services are requested appropriately, evaluate technical proposals, and write technical reports.
Make technical decisions with moderate supervision.
Ability to report research and test results in various technical formats.
Able to work with a team or on an individual basis to reach project goals.
Skills Required
3+ Years in Lighting products / Overall 8+ years
Knowledge of Automotive lighting products Headlamp and Tail Lamp
Experience in designing plastic parts using Catia V5/V6
Knowledge of Lighting components
Product life cycle knowledge
Supplier management
Cross functional team
Appearance / Craftsmanship
Packaging study
Timeline management
Prototype process
Tooling / DFM
Manufacturing / DFA
Specifications / FMVSS
Benchmarking
DVP&R
DFMEA
Studio interaction
Education/Training/Certifications
Requires a BS or MS in engineering.
We are an Equal Opportunity Employer. Employment decisions are made without regard to race, color, religion, sex, sexual orientation, age, national origin, disability, protected veteran status, gender identity or any other factor protected by applicable federal, state, or local laws.
JOB-10043632
Senior FPGA Engineer
Staff Engineer Job 42 miles from Redlands
Our client are seeking a highly skilled FPGA Engineer to join their team. The ideal candidate should have a strong background in digital design, VHDL/Verilog/SystemVerilog programming, and experience with FPGA development tools and methodologies.
Key Responsibilities
Design, implement and verify RTL code for image sensor configuration and high-throughput readout
Develop and optimize RAM buffering techniques for efficient data handling
Collaborate with hardware and software teams to define FPGA requirements and specifications
Support RDT&E efforts of new space-based payloads
Implement and optimize signal processing and routing algorithms in RTL
Integration of RTL designs onto FPGA/SoC platforms
Perform synthesis, place and route, timing analysis and FPGA implementation
Verification and validation of RTL designs on prototype and flight hardware
Collaborate with multidisciplinary team to ensure system functionality
Lead verification and validation of FPGA based boards
Required:
2+ years of experience developing HDL for FPGAs in a professional environment
Bachelor's degree in electrical engineering, computer science, mathematics or a related field
Proficiency in RTL design using VHDL, Verilog and/or SystemVerilog
Proficiency working with FPGA design tools
Strong understanding of digital design principles and methodologies.
Must be able to obtain a Secret and/or a TS/SCI clearance.
Must be available to work extended hours and weekends as needed to meet critical deadlines
Preferred:
Experience implementing HDL for precise timing applications
Experience with high-speed serial interfaces (e.g. GbE, PCI-E, LVDS)
Experience with low-speed serial interfaces (e.g. RS422, CAN, SPI)
Experience with dynamic RAM controllers and layout.
Knowledge of RAM architectures and efficient buffering techniques
Experience with image sensors and camera systems
Experience implementing computer vision and digital signal processing algorithms in RTL
Experience with Xilinx and Microchip FPGA systems and toolchains
Experience with networking protocols and packet processing (Ethernet 802.3, IPV4/IPV4, UDP, TCP)
Proficiency in software development (C/C++/Python)
Strong documentation and collaboration skills
Strong problem-solving skills and attention to detail.
Familiarity with hardware description languages (HDL) and high-level synthesis (HLS) tools.
Application Engineer
Staff Engineer Job 42 miles from Redlands
🚀 Application Engineer - Energy Storage ⚡
Are you looking to be part of the revolution in Battery Technology & Energy Storage? We're on the lookout for an Application Engineer to help shape a brighter future through innovative energy solutions.
The Business
At the forefront of energy storage technology, we are driving electrification of large commercial and utility projects with fully integrated battery energy storage solutions, complemented by cutting-edge software.
Originating from ANZ, we achieved an impressive 50% market share within just four years and are now expanding rapidly into the US and South Korea. Our US team, based in Orange County, CA, is set to double in size by the end of the year, with even bigger expansion plans for 2026.
The Opportunity
As we continue this incredible growth, we are seeking an Application Engineer to join our team.
This is a key role that will provide technical and engineering expertise to support sales, project sizing, and the deployment of our BESS (Battery Energy Storage Systems). You will work closely with the sales, engineering, and manufacturing teams, ensuring seamless integration of our solutions in Commercial, Industrial, and Small Utility markets.
This role will truly be the bridge between the sales & engineering team, we're looking for someone who is comfortable sitting in front of customers as they are working with engineering teams and able to keep up technically.
With our US operations just over a year old, this is your chance to be part of a game-changing journey and make a career-defining impact in the energy transition.
What You'll Be Doing
🔋 Battery Storage Project Sizing
Assess customer requirements and develop optimal BESS sizing solutions.
Evaluate system capacity, performance, and cost-effectiveness.
📊 Modeling & Optimization
Develop technical & economic models to assess energy storage feasibility.
Perform simulations to optimize system performance based on customer needs.
💡 Pre-Sales Technical Support
Partner with the sales team to provide technical guidance to customers.
Address inquiries related to system performance, compliance, and integration.
Assist in responding to RFPs, RFQs, and tenders.
Review and analyze contracts to ensure alignment with product capabilities.
📐 Pre-Sales Engineering
Design high-level system architectures and single-line diagrams (SLDs).
Support the integration of electrical and EMS (Energy Management Systems).
📖 Product Training & Documentation
Develop and deliver technical training materials for customers and internal teams.
Conduct training sessions on battery storage best practices.
🛠️ Compliance & Regulatory Approvals
Manage UL certification, CEC listing, and industry approvals.
Ensure compliance with UL 9540, UL 1973, UL 1741, NFPA 855/68/69 and other relevant standards.
What We're Looking For
Strong technical knowledge of battery storage systems, electrical design, and EMS integration.
Background in C&I and utility-scale BESS projects
Familiarity with energy storage software tools, AutoCAD, and 3D modeling.
Excellent communication skills - ability to simplify technical concepts for customers.
A strategic, solutions-focused mindset in a fast-growing, dynamic environment.
Bachelor's or Master's degree in Electrical Engineering, Energy Systems, or a related field.
📩 Got questions? Reach out to Harriet Mitchell for more information!
Computer Aided Design Engineer
Staff Engineer Job 42 miles from Redlands
Perform engineering work related to configuring, deploying, maintaining, and upgrading CAD and Product Lifecycle Management (PLM) applications and processes.
Create and maintain Battery CAD data in CATIA and ENOVIA.
Responsible for tasks related to development and deployment of systems, methodologies, standards and processes that result in the ability to create and manage high fidelity digital data for our products.
Analyze battery packaging zones for clash, clearance and contact issues and document and coordinate critical issues with the battery design team.
Actively work to maintain current BOM and all design related attributes as defined by engineering,
Support development and maintenance of all assembly drawings and ensure data managed is correctly related.
THIS IS WHAT YOU'LL NEED:
Bachelor's Degree in Engineering, Computer Science, or equivalent combination of education & experience.
5+ years of experience using CATIA, ENOVIA (CATIA V6 preferred)
Familiarity with computer‐aided design, manufacturing and product data management systems.
Experience with automotive virtual, mule, prototype and production builds
Basic knowledge of design engineering and manufacturing engineering applications and processes.
Electronics Engineer
Staff Engineer Job 49 miles from Redlands
To be eligible to enlist in the U.S. Navy, candidates must be between the ages of 18-34
Every successful engineering career needs a solid foundation, and thats exactly what youll get. Do your job right, and youll build structures that will last for years to comeand a career that will take you wherever you want to go.
ELECTRICIANS MATE
Whether youre installing the latest electrical panels in the cockpit or troubleshooting the electric breakers on a submarine, you are responsible for keeping the power flowing. If youre fascinated by complex circuits and schematics, a career as an EM might be your perfect match.
PAY AND BENEFITS
From the day you start, youll receive:
Competitive salary
Potential to earn a bonus upon enlistment
Free health insurance
Free housing
A retirement plan
Paid training
College credit
EDUCATION OPPORTUNITIES
Navy College Program and Tuition Assistance
Post-9/11 GI Bill, up to 100% tuition
Professional credentials and certifications
College credit hours toward a bachelors or associate degree through the American Council on Education
QUALIFICATIONS AND REQUIREMENTS
U.S. citizen or equivalent
High school graduate or equivalent
17 years of age or older
General qualifications may vary depending upon whether youre currently serving, whether youve served before or whether youve never served before.
WORK ENVIRONMENT
Youll construct and repair a variety of structures in just about every environment imaginable. You may work at Navy bases or ports of call around the globe, and you may be deployed to help develop or rebuild areas affected by natural disasters.
PART-TIME OPPORTUNITIES
Serving part-time as a Navy Reserve Sailor, your duties will be carried out during your scheduled drilling and training periods. During monthly drilling, Sailors in the Navy Reserve typically work at a location close to their homes.
Take a moment to learn more about the general roles and responsibilities of Navy Reserve Sailors.
RequiredPreferredJob Industries
Government & Military
Operating Engineer - Full Time
Staff Engineer Job 46 miles from Redlands
At Disney, you will help encourage that magic by enabling the teams to push the limits of entertainment and build the never-before-seen! Are you ready to join this team and make an impact?
You already know what its like to work as an Operating Engineer, but can you envision using your skills at a place like Disney! You would work to support both theme parks at the
Disneyland
Resort. Operating Engineers perform operational and functional checks of central plants for our facilities and world-famous attractions, providing direct support to Resort-wide hot water, chilled water, and compressed air requirements. They keep our Guests comfortable by performing troubleshooting and repair of systems and equipment (boilers, chillers, compressors), installations, maintenance, and quality checks of completed work.
If you would like to take on this challenge, apply today.
(Local, Southern California applicants sought - NO RELOCATION OFFERED.)
Basic Qualifications :
You must be at least 18 years of age to be considered for this role
Technical Abilities
Journeyman Operating Engineer or maintenance machinist experience
Unlimited CFC license
Background as a Utilities Man Class A or C, Boiler Technician Class A or C
Ability to use special tools and equipment
Familiarity with pumps, compressors, boilers, chiller units, cooling towers, and associated equipment
Familiarity with alignment of pumps and shafts
Ability to read and interpret blueprints and schematic
Familiarity with, or have the ability to learn, the Computerized Maintenance Management System ("Maximo")
Additional Information :
SCHEDULE AVAILABILTY
Our Theme Parks and Resort Hotels operate 24 hours a day, 365 days a year. Availability to work Sunday through Saturday, any shift (1st, 2nd, and 3rd shift) including holidays, and must be open to any days off. Availability to work overtime as needed.
SUBMITTING YOUR APPLICATION
After clicking “Apply Now” below, the employment application will open in a new window. Please complete ALL pages of the application by clicking “Next” on each page, then “Submit” on the final page.
KEYWORD: DLR Casting, dlrcasting, Disneyland Resort Casting Hourly Jobs , DLRResortRoles, DLR Resort Roles, Facilities, HeroesWorkHere
The pay rate for this role in California is $38.48 per hour.
Select benefits may be provided as part of the compensation package, such as medical, financial, and/or other benefits. To learn more about our benefits visit: ****************************************
Manufacturing Engineer II
Staff Engineer Job 42 miles from Redlands
Our client is seeking a highly motivated Manufacturing Engineer II to drive manufacturing process analysis, improvement, and validation, with a focus on implantable devices. This role will collaborate closely with management, internal engineers, and external vendors to enhance process and component quality, while also identifying cost reduction and quality initiatives to ensure long-term product value and viability. You will contribute to optimizing device design and manufacturing processes to reliably and repeatedly produce implantable components that meet design input and product specifications.
Located in Orange County we are looking for a hands-on individual with exceptional communication, organizational, problem-solving, and technical skills, and a willingness to travel both nationally and internationally. Due to outsourced manufacturing, significant national travel to supplier sites (up to 50% of the time) is required. The ideal candidate will thrive in complex and ambiguous environments, while maintaining a clear understanding of the overall project goals.
RESPONSIBILITIES
Develop, analyze, improve, and validate manufacturing processes, focusing on polymeric implantable devices.
Identify, design, install, and validate equipment.
Manage internal and external resources to reduce costs and improve supply chain efficiency.
Validate processes using statistical process analysis.
Develop test plans to define acceptable tolerance ranges that meet design input and product output specifications.
Create and optimize work instruction documentation for manufacturing and assembly.
Define product output specifications related to manufacturing processes.
Plan, schedule, conduct, and coordinate detailed phases of manufacturing work.
Support scheduling, ordering, vendor relations, and internal manufacturing environment management.
Participate in failure analysis and corrective action activities to guide design and process modifications.
Provide technical liaison, coordination, and collaboration with technicians.
Support risk analysis, requirement definition, and regulatory submissions.
Support and manage process risk analysis, including key suppliers.
Assist in requirements definition.
Provide input and support for regulatory affairs regarding process or material changes.
Support design for manufacturing reviews in cooperation with internal engineers and key vendors.
Requirements
BS in Mechanical or Manufacturing Engineering (essential), MS desirable.
3-5 years of manufacturing experience, including process development and Manufacturing Engineering, preferably in the medical device industry (essential).
Strong working knowledge of process characterization and validation, including tools such as pFMEAs, MVP, IQ/OQ/PQ/PPQ, and TMVs (essential).
Understanding of medical device quality regulations, practices, and standards, such as ISO 13485 and FDA quality system regulations (essential).
Experience with Design of Experiments or optimization methods (desirable).
Experience in logistics and supply chain (desirable).
Experience in the synthesis and processing of polymers (desirable).
Experience with cleanroom manufacturing and cleanroom installation and setup (desirable).
Senior Engineer (Experimental Fluid Mechanics)
Staff Engineer Job 42 miles from Redlands
As a Senior Engineer for Experimental Hemodynamics, you will be responsible for ensuring that development testing is executed on-time with precision and efficiency. Your responsibilities include managing hemodynamics test planning and scheduling, test article/fixtures build and test setup including instrumentation. You will support both transcatheter heart valve (THV) product development and research and development teams.
What you'll be able to do:
Support experimental hemodynamics / optical flow visualization test scheduling and execution to meet project timelines at clients Irvine advanced imaging and hemodynamics test facility.
Support article/fixtures build including instrumentation.
Support parts build across internal and external suppliers as directed by model design team.
Author/Co-Author SOP, IQ, TMV Protocols and Reports, as well as DV Protocols and Reports
Assist with assembly instrumentation including connection to data acquisition equipment.
Ensure physical test setup and acquired data meets quality standards.
Ensure safety as a number one priority for test article build, setup and test execution.
The minimum requirements we seek:
Graduate degree (Thesis based Masters or PhD) in Engineering or Applied Physics with focus on Experimental Fluid Mechanics
Proven publication record in Experimental Fluid Mechanics (digital copies of the articles will be requested to evaluate competency)
Hands-on skills relating to 2D2C Particle Image Velocimetry within LaVision DaVis environment, including data postprocessing/analysis externally using MATLAB.
Hands-on skills relating to testing of flow instabilities in the closed fluid loop and physical assembly of components, including the use of various hand and power tools.
Hands-on skills relating to CAD modelling using Solidworks.
Technologically inclined and competent using windows-based programs.
Excellent attention to details in both physical and computer environments
Logical thinker with good judgement and decision-making abilities
Ability to work with commitment and accuracy under pressure to successfully meet deadlines.
Excellent verbal and written communication skills
Ability to adapt to changing priorities.
Self-starter and able to work independently and as part of a team.
Our preferred requirements:
2+ years of experience with testing of flow instabilities in the closed fluid loop using particle image velocimetry technique, including test article build and test execution.
2+ year of experience of instrument setup and data acquisition on test article
Passion for structural heart hemodynamics or related technologies
Enjoy using your attention to detail to understand and solve technical problems.
Relish collaborating across functions in a team environment, employing an open and honest communication style.