Senior Mechanical Engineer Jobs in Vincent, CA

- 2,322 Jobs
All
Senior Mechanical Engineer
Mechanical Engineer
Senior Design Engineer
Senior Engineer
Senior Product Design Engineer
Mechanical Design Engineer
Design Engineer
Product Development Engineer
Operations Engineer
Senior Mechanical Designer
  • Senior Mechanical Design Engineer

    Oakmont Consulting

    Senior Mechanical Engineer Job In Los Angeles, CA

    Senior Mechanical Engineer Salary: $150k - $180k I am working with a fast-moving technology company in the industrial hardware space that is developing next-generation machinery for extreme environments. They are looking for a Senior Mechanical Engineer to lead the design of structural, hydraulic, and actuation systems, taking concepts from early-stage development through to real-world deployment. Responsibilities Design and prototype mechanical systems and components for autonomous heavy machinery, including hydraulic and drive systems. Integrate mechanical designs with electrical, control, and software systems. Conduct simulations, stress analysis, and FEA to validate designs in harsh operational conditions. Develop and execute testing plans, iterating designs based on real-world performance. Optimize systems for performance, weight, cost, and manufacturability. Conduct field visits to understand operational challenges and refine system reliability. Document design choices, test results, and areas for future improvement. Requirements 8+ years of hands-on experience as a mechanical engineer, designing and testing complex industrial machinery. Experience in a senior or staff-level engineering role at an early-stage startup or smaller engineering company. Strong expertise in CAD software (NX, SolidWorks, or similar) and FEA tools (ANSYS, Abaqus, etc.). Deep understanding of hydraulic systems, drive mechanisms, and structural engineering. Knowledge of manufacturing processes, material selection, and real-world production tolerances. A problem-solving mindset, able to work autonomously and move fast in a high-performance environment. Compensation & Benefits Salary: $150k - $180k Equity: Generous early-stage stock options Work Location: In-person, Los Angeles area (El Segundo) Perks:100% covered healthcare (medical, dental, vision) All meals provided (breakfast, lunch, dinner) Housing assistance near the office Opportunity to work with some of the best engineers in the field Why Join? Work on industrial machines that are the first of their kind Be part of a small, elite team of top-tier engineers Huge growth opportunities, including global travel A well-funded company that prioritizes excellence and innovation
    $150k-180k yearly 2d ago
  • Senior Mechanical Engineer

    Evona

    Senior Mechanical Engineer Job In Hawthorne, CA

    Our client is an expanding start-up at the forefront of space exploration, developing end-to-end solutions for large-scale rocket propellant production on the Moon and Mars. By creating key enabling technologies, they are paving the way for humanity to become a truly multi-planetary species. If you're energized by a high-ownership environment, thrive in a fast-paced setting, and love tackling challenging hardware problems, this is your opportunity to make a meaningful impact on the future of space exploration! The Role: As a Senior Mechanical Engineer, you will work on designing and building subsystems and components to convert extraterrestrial resources into rocket propellant. In this high-impact role, you will help shape the future of resource utilization in space, laying the foundation for humanity's expansion beyond our planet. If you're driven by innovation, thrive in fast-paced environments, and enjoy solving complex engineering challenges, we'd love to hear from you! Responsibilities Lead the design, development, and deployment of architectures, systems, subsystems, and components as the Responsible Engineer for assigned hardware. Conduct rapid testing iterations on hardware to refine and validate performance. Test subsystems and components in simulated environments to mimic launch and operational conditions. Collaborate with multidisciplinary teams to ensure seamless integration of hardware with supporting systems. Identify and execute high-level improvements to program operations and strategy. Mentor and provide technical guidance to early-career engineers. Anticipate emerging technologies and apply them to accelerate the team's goals. Required Qualifications Bachelor's degree in Mechanical Engineering, Aerospace Engineering, Physics, or a related discipline. Proven experience working within the space sector At least 5 years of experience in a professional engineering environment. Proficiency with CAD, engineering analysis, and hands-on fabrication or testing of hardware. Strong sense of ownership and productivity. Passion for advancing human exploration beyond Earth. Preferred Qualifications Advanced degree(s) in Aerospace Engineering, Mechanical Engineering, or a similar field. Over 15 years of engineering experience, including leadership and individual contributor roles. Proven track record of developing and delivering hardware solutions for complex challenges. Experience designing hardware for space applications. Familiarity with mechatronics, process design, thermal analysis, and/or mass production. Strong commitment to enabling humanity's expansion into space. Position Details Open to Senior and Principal levels based on experience. Location: Los Angeles, CA. Salary range: $120,000-$180,000 annually, plus equity package. Compensation is commensurate with experience. Benefits include paid time off and comprehensive health, dental, and vision coverage. Export Control Requirements Candidates must comply with U.S. export control laws and regulations. Applicants must be U.S. citizens, lawful permanent residents (green card holders), refugees under 8 U.S.C. § 1157, or asylees under 8 U.S.C. § 1158, or must meet eligibility requirements for necessary export authorizations.
    $120k-180k yearly 19d ago
  • Senior Mechanical Engineer

    Sangha Partners 3.7company rating

    Senior Mechanical Engineer Job In El Segundo, CA

    Are you a top 1% mechanical engineer looking to build first-of-their-kind machines that will reshape an industry? A stealth startup in Southern California is tackling critical challenges in mining and industrial automation. We're seeking an elite mechanical engineer who thrives in high-autonomy environments, operates with urgency, and is driven to build groundbreaking technology. As the first mechanical engineer in this domain, you'll own your product vertical and have the opportunity to build a team around you. This role is for someone who has designed, built, and tested complex industrial-scale machinery at world-class engineering companies or startups. What You'll Do Design, develop, and test highly complex mechanical systems for industrial applications Drive the full product lifecycle from concept to production Work hands-on with hydraulics, structural design, and drive mechanisms Utilize CAD (NX, SolidWorks) and FEA tools (ANSYS, Abaqus) to optimize performance Collaborate closely with a team of top-tier engineers in a fast-paced, execution-driven environment What You Bring 8+ years of experience designing and testing industrial-scale mechanical systems Proven ability to lead mechanical design in a startup or small engineering team Expertise in hydraulic systems, drive mechanisms, and structural design Deep understanding of manufacturing processes and material selection Engineering degree from a top-tier university (MIT, Caltech, Stanford, Berkeley, Michigan, etc.) A track record of solving critical engineering problems with speed and precision Why Join? Work on cutting-edge industrial automation technology that has never been built before Collaborate with a team of world-class engineers in Southern California Uncapped growth potential - shape the future of the company and build your own team Competitive compensation, 100% healthcare coverage, and all meals provided in-office Housing assistance near the office and potential for global travel Employee Benefits 100% healthcare coverage (medical, dental, vision) All meals covered (breakfast, lunch, dinner) Can help provide housing close to the office Generous early stage equity If you're obsessed with solving hard engineering problems and want to work alongside the best, apply now. We're looking for A+ players who elevate the entire team.
    $111k-144k yearly est. 6d ago
  • Senior Engineer - Utilities

    Lonza

    Senior Mechanical Engineer Job In El Sobrante, CA

    Today, Lonza is a global leader in life sciences operating across five continents. While we work in science, there's no magic formula to how we do it. Our greatest scientific solution is talented people working together, devising ideas that help businesses to help people. In exchange, we let our people own their careers. Their ideas, big and small, genuinely improve the world. And that's the kind of work we want to be part of. Take on an exciting opportunity with Lonza, a global leader in life sciences, and contribute to our outstanding team as a Senior Engineer in Utilities. This role is crafted to support our ambitious growth and ongoing success at our Vacaville, CA site. Join us in driving world-class technical solutions and ensuring flawless operations in plant utilities. Key responsibilities: Identify, analyze, and design improvements for facility, utility, process, and other utilities equipment. Provide technical support for all site utility systems, including water pretreatment, plant and process drains, water for injection, and clean steam systems. Ensure uninterrupted quality supply of pharmaceuticals through technical support and compliance. Develop and maintain engineering documentation such as P&IDs, design drawings, and specifications. Support project documentation development and review, including schedules, budgets, URS, PEP, RFQs, and Contractor Bid Packages. Drive and manage planning and design phases, including project initiation and detailed design. Conduct daily process monitoring and analysis, and support discrepancy investigations. Coordinate construction phases, managing contractors and ensuring successful project completion. Resolve emergent issues on a 24/7 basis, including weekends and holidays if necessary. Collaborate with Global Engineering on major projects. Continuously improve technical infrastructure, standards, practices, and procedures. Lead identification and implementation of process performance improvements. Provide technical mentorship to utility operations technicians. Provide SME support during plant audits. Provide guidance for 'like for like' or similar parts of obsolete parts and guidance to maintenance during critical repairs. Key requirements: BS Engineering degree from an accredited university. Electrical, mechanical, or chemical engineering or equivalent degree is preferred. Strong understanding and demonstrated ability with engineering principles and techniques. Knowledge of FDA and EMA regulations, cGMPs, building codes, and related standards. Proficiency in ISA standards and practices for instrumentation. Knowledge of calibration theories and techniques. Understanding of project lifecycle including initiation, design, construction, and commissioning/validation. Effective communication and interpersonal skills with technical, operations, maintenance, quality, and senior management personnel. We recognize that attracting, developing and retaining the best talent is key to our strategy and success as a company. As a result, we aim for flexibility in structuring competitive compensation offers to ensure that we are able to attract the best candidates. As required by law in this state, the quoted salary range for this position is $129,000-$219,000. We use broad salary ranges that reflect the competitive market for similar jobs, provide sufficient opportunity for growth as you gain experience and expand responsibilities; while also allowing for differentiation on performance based on the breadth of our ranges, most new hires will start at the company between the lower and the middle part of the applicable range. We tailor our offers within the range based on job-related factors, including organizational needs, internal equity, market data, geographic area and the selected candidate's experience, education, industry knowledge, location, technical and/or communication skills and other factors that may prove relevant during the selection process. This range is only inclusive of base salary, and base pay is just one component of Lonza's total compensation package for employees. Full-time employees are eligible to receive a performance-related bonus, as well as a comprehensive benefits package including medical and dental coverage, 401k plan, life insurance, short-term and long-term disability insurance, an employee assistance program, paid time off (PTO), and more. Every day, Lonza's products and services have a positive impact on millions of people. For us, this is not only a great privilege, but also a great responsibility. How we achieve our business results is just as important as the achievements themselves. At Lonza, we respect and protect our people and our environment. Any success we achieve is no success at all if not achieved ethically. People come to Lonza for the challenge and creativity of solving complex problems and developing new ideas in life sciences. In return, we offer the satisfaction that comes with improving lives all around the world. The satisfaction that comes with making a meaningful difference. Lonza is an equal opportunity employer. All qualified applicants will receive consideration for employment without regard to race, religion, color, national origin, sex, sexual orientation, gender identity, age, status as a qualified individual with disability, protected veteran status, or any other characteristic protected by law.
    $129k-219k yearly 6d ago
  • Mechanical Engineer

    TEC Group, Inc. 4.3company rating

    Senior Mechanical Engineer Job In Orange, CA

    TEC GROUP IS SEEKING ASSOCIATE/SR MECHANICAL/MEP ENGINEERS TO JOIN OUR GROWING CLIENT'S TEAM. COME BE A PART OF AN ESOP (EMPLOYEE-OWNED) COMPANY THAT VALUES THEIR EMPLOYEES AND YOUR CAREER GROWTH! TITLE: ASSOCIATE/SR. MECHANICAL ENGINEERS SALARY: DOE - $125k - 160K DOE SCHEDULE: Hybrid - 2 days in the office LOCATION: Tustin, CA QUALIFICATIONS: BSME or related with PE license, and 10+ years of experience in engineering evaluation of building mechanical systems with some knowledge of electrical and fire protection system helpful Project Management experience Strong HVAC systems experience Assessment or forensics experience helpful Experience in energy audits, commissioning, sustainability, and facilities engineering helpful Perform evaluations of primarily HVAC systems. LEED certificate is a plus Proficiency in Bluebeam and PlanGrid
    $125k-160k yearly 7d ago
  • Mechanical Design Engineer

    Kelly Science, Engineering, Technology & Telecom

    Senior Mechanical Engineer Job In Torrance, CA

    Mechanical Design Engineer | $110,000 - $140,000 | Full-Time | Torrance, CA Kelly Engineering is seeking a Design Engineer for our client in Torrance, CA, specializing in mobility solutions for children and adults. This is a full-time, onsite role offering a salary range of $110,000 - $140,000 per year (Based on experience) and excellent benefits. About the Role: As a Design Engineer, you will be at the forefront of product innovation, leading the development of mechanical mobility solutions from concept to production. This position is perfect for a hands-on engineer who thrives in a collaborative, fast-paced environment and enjoys problem-solving, vendor collaboration, and full product lifecycle ownership. Key Responsibilities: ✔ Design mechanical systems including tubing/extrusions, plastic components, and articulating mechanisms. ✔ Lead new product development (NPD), ensuring high-performance and manufacturability. ✔ Develop 3D models, 2D drawings, and BOMs using SolidWorks and PLM systems. ✔ Perform engineering analysis, simulations, and physical testing (strength, durability, regulatory compliance). ✔ Collaborate with vendors to resolve technical challenges and ensure quality production. ✔ Conduct root cause analysis and implement corrective actions. ✔ Work closely with cross-functional teams to bring products to market successfully. What We're Looking For: 🔹 5-10 years of experience in mechanical product design & development (Automotive, Class I/II Medical, or similar industries preferred). 🔹 Strong background in full product lifecycle development (from concept to release). 🔹 Project management experience (leading NPD efforts). 🔹 Proficiency in SolidWorks (kinematics and FEA preferred). 🔹 Experience in design for manufacturability (tubing, welding, machining, assembly). 🔹 Familiarity with physical product testing (ISO, FDA, regulatory standards). 🔹 Excellent problem-solving and teamwork skills. 🔹 Bachelor's degree in Mechanical Engineering or related field. What's in It for You? ✅ Competitive salary ($110,000 - $140,000 per year Based on experience) ✅ Comprehensive benefits package (medical, dental, vision, 401k match) ✅ Career growth in a dynamic, hands-on R&D environment ✅ Opportunity to work on meaningful mobility products that improve lives ✅ Onsite role in Torrance, CA (Full-Time) 🔹 Apply Now! If you're a skilled Design Engineer ready to take ownership of exciting projects, we want to hear from you. Click Apply Now to submit your resume!
    $110k-140k yearly 9d ago
  • Senior Mechanical Engineer

    Delivered Talent Group

    Senior Mechanical Engineer Job In Orange, CA

    We are seeking an experienced Senior Mechanical Engineer to take a leading role in designing and engineering HVAC, piping, and hydronic systems for projects of moderate to large scale. This role involves applying advanced engineering principles to ensure the delivery of safe, reliable, and energy-efficient solutions. The ideal candidate will be skilled in developing designs, reviewing project specifications, and collaborating with construction teams to optimize system performance. Key Responsibilities: Analyze project requirements, review RFP documents, and assess major system components to ensure compliance with specifications and industry standards. Develop technical drawings, specifications, control sequences, and system documentation for complex projects. Evaluate existing designs, propose value-engineered solutions, and recommend modifications for improved efficiency and cost-effectiveness. Collaborate with preconstruction teams to develop conceptual designs, support estimating efforts, and participate in client interviews. Work closely with construction teams to address design feasibility, sequencing, and field conditions. Ensure that all deliverables, including drawings and reports, meet scope, budget, schedule, and quality standards. Provide guidance and mentorship to junior engineers, designers, and drafters, overseeing project workflows. Conduct peer reviews of engineered systems and offer insights to improve design accuracy. Represent the company professionally in interactions with clients, architects, vendors, and contractors. Qualifications: 8+ years of experience in HVAC, piping, and plumbing system design. Bachelor's degree in Mechanical Engineering required. Strong understanding of load calculations, energy modeling, and system analysis tools. Proficiency in Revit and AutoCAD for design and drafting. Strong problem-solving skills with the ability to analyze, conceptualize, and optimize mechanical systems. Effective communicator who thrives in team-oriented and cross-functional environments. Ability to work independently and collaboratively, balancing multiple priorities in a fast-paced setting. Experience leading teams and mentoring junior engineers. Professional Engineer (PE) license required. LEED AP certification preferred. Proficiency in Microsoft Office Suite (Word, Excel, Outlook).
    $104k-141k yearly est. 2d ago
  • Mechanical Engineer

    Red Digital Cinema 4.9company rating

    Senior Mechanical Engineer Job In Orange, CA

    RED Digital Cinema, a Nikon Group company, is a leading manufacturer of professional digital cameras. RED's modular camera system and groundbreaking image quality are by far the best on the market today! Started by Jim Jannard (founder of Oakley), RED continues to break ground with new products like KOMODO and V-RAPTOR. RED was used for major productions like The Queen's Gambit, The Social Dilemma, My Octopus Teacher, The Suicide Squad, The Morning Show, Russian Doll, Squid Game, Matrix, Red Notice, and Mank. In addition, RED has been selected as the camera of choice in 3 major productions, winning 2 Oscars and 3 Emmys in the cinematography category. This is an exciting time for RED, and we are growing! JOB DESCRIPTION The Mechanical Engineer will be assisting with innovative mechanical design solutions for the current and next generation cameras and will help other Mechanical Engineers in all mechanical related activities throughout the product development cycle. Responsibilities Assist with the mechanical architecture for current and new products Contribute to the overall design, analysis, documentation, and functional validation of mechanical components Use Pro/Engineer and analysis tools to create mechanical designs, primarily consisting of machined parts, injection-molded plastic, and die-cast component assemblies for production Interface with internal team members to ensure the successful development and launch of new products Help develop innovative mechanical solutions to highly complex problems Requirements B.S. degree in Mechanical Engineering or equivalent 5+ years of relevant experience in mechanical engineering in product design and development 2+ years experience in Pro/Engineer tools to create mechanical designs, primarily consisting of machined parts, injection-molded plastic, and die-cast component assemblies for production Hands-on geometric dimensioning and tolerancing and performing statistical tolerance analysis Experience in Thermal concepts and solutions, including Heat sink and duct design
    $79k-106k yearly est. 7d ago
  • Mechanical Analysis Engineer with Machine Learning

    Metrolaser, Inc. 3.8company rating

    Senior Mechanical Engineer Job In Laguna Hills, CA

    MetroLaser, Inc. is a leading R & D company globally recognized for its expertise in laser-based measurement and diagnostic technologies for industrial, military, and space applications. Since its inception in 1988, MetroLaser has been at the forefront of state-of-the-art research and development as well as the commercialization of optical diagnostic systems to measure flow velocity, temperature, material composition, surface temperature, and non-destructive inspection of components and additively manufactured (3D printed) parts. The core business of MetroLaser is in the defense sector, NASA and Department of Energy and as a commercial provider of high-speed flow and combustion diagnostics, and non-destructive evaluation of materials and 3D printed parts. We have an exciting opening for a Mechanical Analysis Engineer with Machine Learning at our facility in Laguna Hills, CA 92653. The new appointee will apply and constantly improve his experience and skills in analysis of additively manufactured parts both experimentally and theoretically, using modeling and simulation, finite element analysis (FEA), ML/AI methods, and vibrational resonance spectroscopy to characterize the status of parts based on their vibrational spectra. Particular emphasis will be on the use of ML/AI and neural networks for streamlining the analytical procedures for material characterization. The successful candidate will use his expertise and skill-set to use MetroLaser developed laser-based diagnostic technology and will work with moderate supervision on existing programs to help develop new techniques and instrumentation. The appointee is expected to prioritize time to stay on schedule, be proactive in documenting the results and findings, and in communicating them to the scientific staff. He/she is expected to work as a part of a team and may also lead efforts with other engineers and technicians. Responsibilities · Use solid modeling, finite element analysis and multi-physics modeling to simulate and understand material behavior, changes in material properties and structural mechanics · Employ optical diagnostics, vibrational analysis and signal processing for mechanical properties and material characterization · Employ machine learning/artificial intelligence (ML/AI) and neural networks to refine physics-based models for mechanical properties and material characterization · Design and build experimental setups for material testing · Perform data analysis using advanced algorithms and multivariate models · Develop timelines and resources to implement solutions for the project execution · Document findings/results and analyses for reporting · Prepare technical presentations for internal use, conferences and customers Qualifications · Ph.D. in Mechanical Engineering, Materials Science/Engineering, Civil Engineering, Aerospace Engineering or equivalent, with 1 or more years of work experience after the PhD degree. · Experience in solid modeling, mathematical models, Finite Element Analysis (FEA), and multi-physics simulations · Highly proficient in MATLAB, SOLIDWORKS, and LABVIEW · Experience with application of diagnostics, especially optical-based, for material characterization · An excellent understanding of signal processing, and data analysis · Highly-motivated and a self-starter with ability to quickly absorb new concepts and subsequent application within prescribed timelines and resources · Strong communication and inter-personal skills, and the ability to work in a team towards a larger company objective MetroLaser, Inc. offers highly competitive compensation and fringe benefit package that includes retirement plan, matching contributions; health, dental and vision benefits; generous paid time-offs; sick leave and a highly stimulating intellectual work environment. MetroLaser is an equal opportunity employer. Candidates must be US citizens or US permanent residents as required by Department of Defense requirements for this position. Please email your application package (cover letter and resume) to **********************.
    $77k-101k yearly est. 18d ago
  • Mechanical Engineer

    Arena Family of Companies

    Senior Mechanical Engineer Job In Ontario, CA

    Design Mechanical Engineer (HVAC) We are seeking a highly skilled Design Mechanical Engineer with a specialty in HVAC systems to join our dynamic team. The ideal candidate will be responsible for designing, developing, and implementing HVAC systems for commercial, industrial, and residential projects. This role requires a deep understanding of mechanical systems, energy efficiency, and industry codes, with the ability to collaborate across multiple disciplines. Key Responsibilities: Design HVAC systems including heating, ventilation, air conditioning, and cooling systems for various building types. Develop detailed mechanical drawings and specifications using AutoCAD, Revit, or other design software. Perform load calculations, energy modeling, and system sizing to optimize performance and efficiency. Coordinate with architects, structural engineers, electrical engineers, and contractors to ensure seamless integration of HVAC systems. Select equipment and materials based on project requirements, budget, and sustainability goals. Prepare technical reports, cost estimates, and project proposals. Conduct site visits and inspections to ensure system installation aligns with design specifications. Stay updated with local, state, and federal codes and standards (ASHRAE, LEED, etc.). Troubleshoot design issues and provide technical solutions during the construction phase. Qualifications: Bachelor's degree in Mechanical Engineering or related field. Professional Engineer (PE) license or EIT with plans to obtain PE. 3-7 years of experience in HVAC design for commercial, industrial, or residential projects. Proficiency in AutoCAD, Revit, and HVAC load calculation software (e.g., Trane TRACE, HAP). Strong knowledge of building codes, energy codes, and LEED certification requirements. Excellent communication and project management skills. Ability to work independently and as part of a collaborative team. Experience with sustainable design and energy-efficient HVAC systems is a plus.
    $74k-98k yearly est. 13d ago
  • Cryogenic Mechanical Engineer

    H2MOF

    Senior Mechanical Engineer Job In Irvine, CA

    H2MOF is tackling climate change by solving the greatest challenge facing the hydrogen economy: safe and efficient hydrogen storage. We are looking for a Cryogenic Mechanical Engineer to help with developing the next generation solid-state hydrogen storage systems and associated hydrogen refueling/charging equipment. The engineer is expected to contribute towards the product strategy, P&ID, component design, procurement, fabrication, installation and commissioning of low-temperature capable products and equipment, including vacuum insulated containers, cryogenic liquid transfer systems, valves, pumps, regulators, and safety systems. The Cryogenic Mechanical Engineer is expected to procure/build prototypes, test prototypes to evaluate functionality and performance, collaborate with engineers and scientists to refine prototype designs, and document and report testing results and prototype performance. This position involves hands-on assembly of cryogenic and high pressure systems, hydrogen system prototype testing and collaborative work spanning extended hours. Key Tasks and Responsibilities Prepare cryogenic system designs and engineering drawings, BOMs and P&IDs. Build prototypes and assemble cryogenic and pressure components. Coordinate prototype manufacturing with suppliers and subcontractors. Collaborate with Test Engineers on test setups and prototype testing. Essential Qualifications Master's degree in mechanical engineering, with a minimum of 3 years of work experience in cryostats, vacuum insulation, cryogenic liquid transfer, fittings, valves, and cryogenic safety. Knowledge of cryogenic fluids, materials for low temperature service, cryogenic equipment, cryogenic vessels, fittings, valves, piping, hoses, components, cryogenic physical and equipment hazards. Proficiency in specifying and assembling cryogenic and high pressure fittings. Willingness to undertake tedious assembly or testing including long-duration runs, troubleshooting and iterative improvements. Interpersonal and communication skills to consult with scientists, engineers, and other stakeholders and to provide them with clear progress reports and suggestions for improvement. Cryogenic certification is a plus. Experience with hydrogen handling, safety and permitting is a plus. Expertise in PLC and/or LabView programming is a plus.
    $74k-98k yearly est. 14d ago
  • Senior Product Design Engineers

    RHL Recruitment Australia

    Senior Mechanical Engineer Job In Orange, CA

    California, USA Salary range: USD 115,000 to USD 150,000 basic (AUD 174,000 to AUD 227,000) Sponsorship available Generous relocation and assistance package Exciting opportunity to be part of a US based consumer product design and manufacturing company, who are passionate about their brands and delighting their customers with innovative and high-quality products. They are now driving further development across their brands and looking for Senior Product Design Engineers to help shape their new Product Innovation Team. About the Company My client is a US based consumer products design and manufacturing company, who are passionate about their brands. and delighting their customers with innovative and high-quality products. The Role Focused on new product creation, the Senior Product Design Engineers will support the Head of Product Innovation, driving front-end roadmap development in existing product categories as well as new and merging consumer sectors. The role will sit between front-end ideation, design and engineering to create prototypes and test solutions that align with established insights/experience, marketing and brand teams. Concepts will be created then tested with consumers, prototyped and then validated in the US, with support from the established R&D/DFM teams in China, culminating in full launch into manufacturing. You will be based in their new facility in Orange County, California with some short stay travel to China, to work with the DFM and Product Development teams. The client is focussed on sponsoring and supporting Australians citizens, as this is an approved route via the US Immigration Department. This position comes with a full and tailored relocation package and assistance. Skills and experience Bachelor's degree in a relevant Design/Engineering discipline; Industrial Design, Product Design, Mechanical Engineering or equivalent Circa 10+ years of experience in consumer products, designing and developing concepts for international consumer markets Working knowledge of electrical/electronic controls would be advantageous Hands-on experience designing and developing world-class products from ideation and proof-of-concept/test rigs to hand-over for detail design and manufacturing. Ability to play an integral part of this exciting and challenging journey for new products Benefits • Medical/Dental/Optical insurance cover (100%) for employees, spouses & dependents • 401k with 4% employer match and immediate vesting • Generous Leave entitlements (paid) • Life, Disability, Voluntary Life, Critical Illness, Accident Insurances • Healthcare & Dependent Care • Travel Assistance Program • Employee Assistance Program • Gym/ Wellness Membership • Fully stocked kitchen/breakout area • Full and flexible relocation assistance package
    $101k-137k yearly est. 6d ago
  • Mechanical Engineer

    Tata Technologies 4.5company rating

    Senior Mechanical Engineer Job In Irvine, CA

    Irvine CA Onsite Core skill set: Understanding and experience of Mechanical assembly processes Strong understanding of Manufacturing processes Experience with Design of Mechanical Components / Systems Detailed drawing development (GD&T) 3-D modeling using SolidWorks SolidWorks PDM Vault experience Test Documentation (Plans, Procedures, Reports). Consider Aerospace Engineering and Certification documentation. Ability to perform tests and troubleshoot Hands on test and Hardware experience Ability to collaborate effectively with Quality, External suppliers, other engineering disciplines (such as Electrical, Software - as needed) Experience with Technical documentation generation (variances, supplier disposition) Knowledge of basic lab equipment (Oscilloscope, Digital Multimeters and such) Experience with MRB (Review and Disposition of discrepant parts)
    $85k-108k yearly est. 15d ago
  • Senior Physical Design Engineer

    Xcelerium

    Senior Mechanical Engineer Job In Irvine, CA

    Senior VLSI Physical Design Engineer Xceleirum is a fabless semiconductor company developing advanced edge processors that bring AI processing to high-bandwidth sensors and wireless devices, unlocking hidden insights from every RF signal. Working at Xcelerium will provide an opportunity to work on a complex development from the ground up and become familiar with cutting edge technologies such as the wireless signal processing, computer vision, sensor fusion, machine learning and inner workings of frameworks such as TensorFlow, PyTorch, OpenCL and OpenGL, etc. In addition, the application domains will be 5G, UAVs/Drone, Robots, and Autonomous Vehicles which provide enormous scope for growth and making an impact. About the Job We are seeking a highly skilled Senior SoC/ASIC Physical Design Engineer to lead and drive the physical design activities to successful closure by collaborating closely with RTL and other cross-functional engineering teams. You will be responsible for developing, refining and implementing cutting-edge flows and methodologies that optimize design performance, power efficiency, and area (PPA). Your expertise will directly contribute to achieving world-class time-to-closure and tapeout with optimal team size and resources. Responsibilities Develop and Implement PD Flow: Establish a modern physical design (PD) flow utilizing the latest EDA tool fusion and machine learning (ML) techniques to maximize PPA efficiency, optimize resource allocation, and achieve industry-leading time-to-closure and tapeout. End-to-End Physical Design Execution: Perform partition synthesis and physical implementation, including synthesis, floorplanning, power/ground grid generation, place & route, timing, noise, physical verification, electromigration, voltage drop, and signoff checks. Methodology and Automation: Create and refine physical design methodologies and automation scripts to streamline implementation and signoff processes. Cross-Functional Collaboration: Work closely with RTL, DFT, and ASIC design teams to define architectural feasibility, establish timing, power, and area targets, and explore design trade-offs. Drive Design Closure: Utilize an objective, metrics-driven approach to resolve design, timing, and flow issues and ensure predictability in achieving project milestones. Signoff Ownership: Lead signoff closure activities, including static timing analysis (STA), noise analysis, logic equivalency, physical verification, and power integrity (EM/IR). Basic Qualifications Education: Bachelor's degree in Electrical Engineering, Computer Engineering, or Computer Science (Master's preferred). Experience: 10+ years of experience in ASIC/SoC physical design and flow development. Technical Proficiency: Expertise in RTL-to-GDSII physical design and signoff flows. Strong experience with Synopsys EDA tools, understanding tool capabilities and underlying algorithms. Proficient in physical design methodologies: synthesis, place & route, STA, formal verification, CDC, and power analysis. Knowledge of FinFET and deep sub-micron CMOS technologies, including power dissipation, leakage, and dynamic behavior. Familiarity with DFT, Scan, MBIST, and LBIST methodologies and their impact on physical design. Scripting and Automation: Proficient in scripting languages (Python, Tcl, Perl, bash/csh) and automation using Makefiles. Analytical Skills: Skilled in extraction and analysis of design parameters, QOR metrics, and implementing voltage scaling (SVS, DVFS) and SRAM split rail architectures. Team Collaboration: Proven ability to work collaboratively in dynamic environments, lead design closure activities, and drive execution with a proactive, solution-oriented mindset. Compensation And Benefits We provide competitive compensation package
    $106k-146k yearly est. 20d ago
  • Senior Design Engineer

    Biotalent

    Senior Mechanical Engineer Job In Los Angeles, CA

    About the job Our Client is seeking a Senior Design Engineer to join their dynamic team and contribute to their mission of advancing women's healthcare. Our Client has strategically invested in both organic and inorganic product portfolio development, aiming to be a global leader in the women's health industry. To support this growth, a strong and experienced R&D team is essential for creating, launching, and maintaining both new and existing products. This role is crucial in designing and developing innovative product solutions that enhance women's healthcare. The position will provide design leadership for new product development projects, as well as all sustaining engineering activities. Successful candidates will be reliable, collaborative team players with excellent multitasking, communication, and time management skills. Duties and Responsibilities: Collaborate with marketing to translate customer requirements into actionable specifications. Lead the design of novel product concepts based on customer needs. Select appropriate materials for new designs based on functional requirements, including metals, polymers, polymer-metal blends, and manufacturing methods such as injection molding, stamping, extruding, machining, and 3D printing. Design and develop new products and associated assembly and manufacturing processes, from raw material processing to final device assembly. Provide technical leadership for all new product projects and sustaining R&D activities. Manage all technical stage/gate deliverables for new product development. Translate voice of customer needs into target product solutions, concepts, and new product proposals. Prototype and develop proof-of-concept designs, disease models, and test methods for simulated environments, and develop new product designs based on defined user needs. Execute a long-term strategy for evaluating new designs, materials, and processing methods for current and next-generation products. Collaborate with IP counsel to identify and implement product patent strategies. Generate and execute test methods to characterize designs and establish root causes of design, manufacturing, or material defects. Create and maintain product CAD, including assemblies, components, and detailed drawings. Observe clinical cases and participate in interviews with clinical experts to inform designs. Assist in managing and directing external research and development projects for broader insights. Lead and participate in design reviews, contributing to the continuous improvement of processes. Identify and support component and process vendors, enhancing supply chain effectiveness. Guide engineering and laboratory teams in prototyping, manufacturing, and testing, fostering a collaborative and growth-oriented environment. Assist in managing and directing outside research and development projects for broader insights. Required Knowledge, Skills and Abilities: 6+ years of product design and development experience, including early-stage concept and technical feasibility in biomedical engineering and/or the medical device industry. In-depth knowledge and experience in disposable medical device design and manufacturing. Preference for experience with electromechanical design and regulations (60601). Proven track record of successful new product designs reaching commercialization in a regulated environment. Strong medical device concept development, prototyping, and testing experience. Strong medical device design and manufacturing knowledge and experience. Working knowledge of electrosurgical design and regulatory requirements. Proficiency in Solidworks. Effective verbal, presentation, and written communication skills. Medical device product launch experience. Ability to travel up to 15-20%.
    $108k-148k yearly est. 8d ago
  • Senior Silicon Photonics Design Engineer

    APIC Corporation 3.5company rating

    Senior Mechanical Engineer Job In Culver City, CA

    : APIC Corporation, headquartered in Culver City, California, is a pioneer in photonics technology development with a 25-year history. The company specializes in chip-scale optical links and networks for chip-to-chip data communications. APIC's cutting-edge proprietary technologies have been developed over two decades, supported by $150 million in government contracts, including sponsorship from DARPA and the US Navy. Currently, APIC is transitioning from research and development to prototyping and volume production of photonically enhanced edge and embedded computers and servers, with the ultimate goal of building high-performance computers and supercomputers. Job Description: APIC Corporation is seeking highly skilled engineers or scientists who are U.S. citizens or current green card holders. This senior role involves developing novel silicon photonics components and photonic integrated circuits (PICs), and leading a team of junior, yet highly qualified, engineers and scientists. The PIC development team is crucial for building the next generation of embedded processors, data centers, high-performance computers, and supercomputers. Responsibilities span from concept design, modeling, and simulation to layout, fabrication, packaging, and product testing. This role requires both supervisory and hands-on involvement as needed. Responsibilities: Lead, supervise, and manage a small team to: · Design, model, and simulate passive and active silicon-photonic devices, circuits, and entire wafers using state-of-the-art electronic design automation (EDA) tools. · Design, model, and optimize silicon photonic fabrication processes. · Develop test plans and execute device and PIC testing and characterization measurements. · Coordinate packaging and chip interface requirements and light source specifications with other APIC teams. Report team activities and progress to APIC management and customers/stakeholders. Interact and coordinate directly with silicon photonic foundry engineers. Manage team resources and schedules. Mentor junior team members. Qualifications: · U.S. citizen or current green card holder. · Ph.D. in Electrical Engineering, Physics, or a related field with a focus on Integrated Photonics, and at least 5 years of direct experience in designing silicon photonics devices. · Proficiency in Lumerical simulation and layout design. · Practical experience with the tape-out process at a silicon photonics foundry, including familiarity with its PDK and foundry process. · Expertise in passive optical components, such as edge grating couplers, directional couplers, MMIs, MRR/MDR-based modulators/filters, and Ge photodetectors. · Experience with photonic foundry processes is essential. · Knowledge of laser design is a plus. · Hands-on experience with photonic testing equipment. · Excellent analytical and problem-solving skills. · Strong communication skills and a proven ability to work both independently and in leadership positions.
    $117k-152k yearly est. 21d ago
  • Sr. Cabin Design Engineer

    Virgin Galactic

    Senior Mechanical Engineer Job In Tustin, CA

    Virgin Galactic is seeking a Sr. Cabin Interiors Design Engineer eager to participate in innovative and unique aerospace vehicle design activities. The responsibilities will range from design reviews to the review of verification and validation plans. The primary tasks involve with supporting the on time release of the drawings and models as well as assuring the timely production of parts by supporting the design iterations. Primary Responsibilities: Support engineering efforts in the review of supplier designs to ensure fit, form and function while being within weight budgets. Support engineering efforts in the review and release of supplier provided drawings. Collaborate with internal stakeholders and supplier throughout design and production. Support development and review of validation and verification plans. Support production and operations teams to ensure readiness for flight. This includes involvement in fabrication, implementation, and MRB activities. Assist authoring various manuals/templates such as design standard and best practices, procedures, and processes. Coordinate with various engineering stakeholders during the design, production, integration, test, delivery, and operation of air/space vehicles. Provide design engineering support by answering questions and requests from internal or external customers. Prepare clear and concise reports that collect, analyze, and summarize information. Participate in the continuous improvement of design practices, procedures, and technologies. Mentor and participate in knowledge transfer/training of teammates. Required Skills & Experience Bachelor's degree or higher in Aeronautical, Astronautical, Aerospace, or Mechanical Engineering from an accredited institution. 8+ years' experience with a strong emphasis in design for aerospace vehicles. Knowledge of and experience with design of Cabin interior mechanical parts or similar, including Seats, Side Walls, Bulkhead, Composite parts, Stowage, Floors, etc. Experience with CATIA V5/6 or similar CAD software required. Experience with CATIA 3DX and model-based definitions are strongly preferred. Working knowledge of design using GD&T standards and tolerance analysis. Ability to develop technical solutions to complex problems that require regular ingenuity and creativity. Experience with Product Lifecycle Management tools (PLM) and configuration management. (Enovia or 3DEXPERIENCE a plus) Excellent decision-making skills with attention to details. Excellent verbal and written communication skills. Team player, highly dependable, strong work ethic, organized with excellent time management skills. Preferred Skills & Experience Experience Aircraft or spacecraft interiors is a plus. Experience with testing and part 25 is a plus. Experience with composite modeling and manufacturing is a plus. Experience with prototype, development, experimental, and/or innovative products. Hands-on experience with equipment assembly, fabrication, and installation. Comfortable working in a creative/fast-paced environment.
    $106k-146k yearly est. 7d ago
  • Design Engineer

    Gas Global 4.2company rating

    Senior Mechanical Engineer Job In Irvine, CA

    Perform benchmarking and create new concept. Perform packaging study Work with suppliers to assure parts/services are requested appropriately, evaluate technical proposals, and write technical reports. Make technical decisions with moderate supervision. Ability to report research and test results in various technical formats. Able to work with a team or on an individual basis to reach project goals. Skills Required 3+ Years in Lighting products / Overall 8+ years Knowledge of Automotive lighting products Headlamp and Tail Lamp Experience in designing plastic parts using Catia V5/V6 Knowledge of Lighting components Product life cycle knowledge Supplier management Cross functional team Appearance / Craftsmanship Packaging study Timeline management Prototype process Tooling / DFM Manufacturing / DFA Specifications / FMVSS Benchmarking DVP&R DFMEA Studio interaction Education/Training/Certifications Requires a BS or MS in engineering. We are an Equal Opportunity Employer. Employment decisions are made without regard to race, color, religion, sex, sexual orientation, age, national origin, disability, protected veteran status, gender identity or any other factor protected by applicable federal, state, or local laws. JOB-10043632
    $76k-92k yearly est. 20d ago
  • Operating Engineer - Full Time

    Disneyland Resort 3.8company rating

    Senior Mechanical Engineer Job In Anaheim, CA

    At Disney, you will help encourage that magic by enabling the teams to push the limits of entertainment and build the never-before-seen! Are you ready to join this team and make an impact? You already know what its like to work as an Operating Engineer, but can you envision using your skills at a place like Disney! You would work to support both theme parks at the Disneyland Resort. Operating Engineers perform operational and functional checks of central plants for our facilities and world-famous attractions, providing direct support to Resort-wide hot water, chilled water, and compressed air requirements. They keep our Guests comfortable by performing troubleshooting and repair of systems and equipment (boilers, chillers, compressors), installations, maintenance, and quality checks of completed work. If you would like to take on this challenge, apply today. (Local, Southern California applicants sought - NO RELOCATION OFFERED.) Basic Qualifications : You must be at least 18 years of age to be considered for this role Technical Abilities Journeyman Operating Engineer or maintenance machinist experience Unlimited CFC license Background as a Utilities Man Class A or C, Boiler Technician Class A or C Ability to use special tools and equipment Familiarity with pumps, compressors, boilers, chiller units, cooling towers, and associated equipment Familiarity with alignment of pumps and shafts Ability to read and interpret blueprints and schematic Familiarity with, or have the ability to learn, the Computerized Maintenance Management System ("Maximo") Additional Information : SCHEDULE AVAILABILTY Our Theme Parks and Resort Hotels operate 24 hours a day, 365 days a year. Availability to work Sunday through Saturday, any shift (1st, 2nd, and 3rd shift) including holidays, and must be open to any days off. Availability to work overtime as needed. SUBMITTING YOUR APPLICATION After clicking “Apply Now” below, the employment application will open in a new window. Please complete ALL pages of the application by clicking “Next” on each page, then “Submit” on the final page. KEYWORD: DLR Casting, dlrcasting, Disneyland Resort Casting Hourly Jobs , DLRResortRoles, DLR Resort Roles, Facilities, HeroesWorkHere The pay rate for this role in California is $38.48 per hour. Select benefits may be provided as part of the compensation package, such as medical, financial, and/or other benefits. To learn more about our benefits visit: ****************************************
    $38.5 hourly 1d ago
  • Product Development Engineer

    AGSE

    Senior Mechanical Engineer Job In Santa Fe Springs, CA

    As an AGSE Product Development Engineer you will design, develop, and provide engineering support through all phases of the process including concept proposals, detail drawings, and production support. You will work with an experienced engineering team supporting domestic and international customers to deliver top quality products that meet or exceed customer and OEM aircraft engine maintenance requirements. Applicants must be able to apply technical skills and attention to detail in preparing design documentation such as drawings, calculations, meeting notes, specification compliance, test procedures/reports, and operations/maintenance manuals. You will support Production, Quality Assurance, Sales, and customers as needed. Some travel for product support may be required. JOB DUTIES AND RESPONSIBILITIES 1. Prepares technical design packages to include design layouts, stress analysis (hand and FEA calculations), deflection calculations, assembly and detailed drawings, requisitions for components, and initial drafts of maintenance and service manuals. 2. Checks contents of technical design packages for compliance with requirements, fit, form, function, and material selection. 3. Coordinates with Sales and Production during the product design cycle with verbal and written communication of project issues. 4. Prepares test procedures, supervises the testing, and prepares test reports. 5. Supports design reviews by documenting compliance with design requirements, answering customer questions and/or assigned actions, and ensuring all outstanding issues are closed. 6. Responds to customer service requests by promptly providing engineering support and answers to questions. 7. Any other duties as assigned by management. JOB REQUIREMENTS AND MINIMUM QUALIFICATIONS 1. BS in Engineering (Mechanical, Civil, Structural, Industrial) 2. Proficiency in mechanical drafting. 3. Familiarity with steel fabrication desired. 4. Strong engineering analytical skills (stress analysis, deflection analysis, dynamics/kinematics). 5. Proficiency in one or more CAD programs (AutoCAD & Inventor preferred). 6. Good verbal and written communication skills. Job Type: Full-time, On-Site Pay: $66,560.00-$90,000.00 per annum Benefits: 401(k) 401(k) matching Dental insurance Health insurance Life insurance Paid time off Vision insurance Schedule: Monday to Friday Ability to Relocate: Santa Fe Springs, CA 90670: Relocate before starting work (Required) PHYSICAL REQUIREMENTS & WORKING CONDITIONS While performing the duties of this job, employees are regularly required to sit, walk and stand; talk or hear, both in person and by telephone; use hands repetitively to finger, handle, feel or operate standard office equipment; reach with hands and arms; and lift up to 25 pounds. Specific vision abilities required by this job include close vision, distance vision, and the ability to adjust focus. The work environment characteristics described here represent those employee encounters while performing this job's essential functions. Reasonable accommodation may be made to enable individuals with disabilities to perform essential functions. Employees work under typical office conditions, and the noise level is usually quiet to moderate. However, in certain assignments, an employee may work near moving equipment and be exposed to toxic or caustic chemicals, biological hazards, airborne fumes, outdoor weather conditions, and loud, prolonged noise.
    $66.6k-90k yearly 8d ago

Learn More About Senior Mechanical Engineer Jobs

How much does a Senior Mechanical Engineer earn in Vincent, CA?

The average senior mechanical engineer in Vincent, CA earns between $92,000 and $163,000 annually. This compares to the national average senior mechanical engineer range of $79,000 to $136,000.

Average Senior Mechanical Engineer Salary In Vincent, CA

$122,000

What are the biggest employers of Senior Mechanical Engineers in Vincent, CA?

The biggest employers of Senior Mechanical Engineers in Vincent, CA are:
  1. Gilat Satellite Networks
Job type you want
Full Time
Part Time
Internship
Temporary