Senior Systems Engineer Jobs At Rite-Solutions

- 4340 Jobs
  • Senior Systems Engineer PTOC

    Rite-Solutions, Inc. 4.2company rating

    Senior Systems Engineer Job At Rite-Solutions

    Rite-Solutions, Inc. is a growing and innovative CMMI Level III software and systems engineering company. We value the experience of the employee, their wellbeing, and their experience on a personal and professional level as part of the key fabric in building and maintaining an innovative and culture rich experience for the employee and their family. This commitment to our company and our employees has been recognized as we were awarded: "Great Place to Work" Certification in 2022, 2023 and 2024; and Hire VETs Medallion Award in 2021, 2022, 2023, and 2024! The Senior Systems Engineer will act as senior liaison/Subject Matter Expert (SME) for various DoD customers. Positions are part-time on call and the level of efforts will be based on the specific customer requirements and needs. Specific skill-set requirements: * Navy systems engineering, Navy DOD acquisition and program experience, program management, integrated product team leader * Knowledge and experience with CMMI Software Development practices and procedures at Level 3 or greater is desired * Bachelor's degree in an Engineering discipline with 25 years of related experience * Positions require US Citizenship and an active security clearance * All positions require a pre-employment background check. As an EOE/AA employer, Rite-Solutions will not discriminate in its employment practices due to an applicant's race, color, religion, sex, sexual orientation, gender identity, national origin, and veteran or disability status. Public Information
    $86k-108k yearly est. 22d ago
  • Power System Engineer - Grid

    Ark Solutions, Inc. 3.7company rating

    Washington, DC Jobs

    Grid Project Manager/Grid Technical consultant 12 Months+ Contract Washington, DC (Hybrid - One day onsite in a week) Education: Bachelor's degree in power systems or electrical engineering, economics, mathematics, or other STEM field. Master's degree preferred. Job Description: We are seeking a highly experienced Senior Grid Technology Consultant to join our team. The ideal candidate will have a profound understanding of electric utilities and grid technologies, with a strong background in power system engineering, planning, and operations. This role requires a strategic thinker with the ability to lead teams, mentor junior staff, and provide insightful briefings to senior leaders on key electricity and grid issues. Required Skills: ā€¢ 10-12 years of experience working with electric utilities on matters related to electricity delivery system and the next-generation grid technologies, from grid edge, distribution, transmission, and micro-grid technologies, tools and technique. ā€¢ Deep understanding of the power system engineering, planning, and operations for electricity delivery system, transmission and distribution, grid equipment manufacturing and labor, and energy policy and markets ā€¢ Deep experience with system modeling and planning, grid planning and management methodologies, key tenets of grid operations, and technologies for secure operations of the power systems ā€¢ Experience with energy storage and integration of renewable energy resources ā€¢ Knowledge of Regional Transmission Operator/Independent System Operator market rules, business practices, and stakeholder procedures related to adoption, deployment, or valuation of new/emerging technologies. ā€¢ Knowledge of Institute of Electrical, Electronics Engineers and International Electrotechnical Commission and other standards related to data, sensing, controls, etc. and the industry standards development process in the U.S. ā€¢ Familiarity with power systems planning and modeling software (PowerFactory, PLEXOS, Hitachi PROMOD, PSS/E) ā€¢ Knowledge of standard industry stakeholder roles, roles of the relevant government entities, compliance rules, regulations, and technical bodies (e.g., North American Electric Reliability Corporation (NERC), Federal Energy Regulatory Commission (FERC), independent system operators, public utility commissions, etc.) ā€¢ Demonstrated ability to succinctly and cogently brief senior leaders on key electricity and grid issues, ā€¢ Experience leading teams and mentoring junior staff to undertake analysis and lead research and operations activities ā€¢ Experience publishing in technical journals, working on academic research, and exposure to research and development processes (R&D), preferred. Preferred Skills: Power system planning, distributed energy resources planning, battery energy storage system (grid and distribution-level), power engineering, electrical engineering, federal consulting, project management, technical writing, technical requirements, grid codes, NERC standards. ā€¢ Ability to get a U.S. Security clearance"
    $89k-122k yearly est. 12d ago
  • Senior Test Engineer

    Millennium Corporation 4.1company rating

    Hampton, VA Jobs

    Millennium is hiring a Test Engineer to work in Hanscom, MA OR Hampton, VA. The candidate must have an active secret clearance. Providing program level test & acquisition support to the Program Manager and Branch leadership by helping guide successful program execution to maintain the test & acquisition battle rhythm and deliver warfighter capability Documenting review, test concept development, test methodology development, test plan development, test execution, and test reporting, data reduction and analysis, and end-user operational testing Providing support and solutions to program leadership to facilitate successful program execution by maintaining cost, schedule and performance objectives, and advising on best practice test principles to achieve improvements and efficiencies Supporting development of various briefings/schedules of program status and acquisition tasks to program leadership Reviewing program documentation and providing comments with test & evaluation perspective. Documents may include, but are not limited to, ADMs, LCSP, Acquisition Strategies, Risk Management Plans, PMRs, CDRLs, Test Strategies, and milestone decision briefings Outlining policy disconnects, policy interpretation, and management of test efforts Planning, evaluating and implementing program test strategies. This includes DoD and AF policy and/or guidance; technical approach and/or requirements; risk assessment; and realism in achieving a product suitable for the warfighter within cost, schedule, and performance constraints. Keeping abreast of DoD test & acquisition policies and USAF Instructions and providing test guidance revisions to new and existing programs impacted by the changes. Selecting and applying engineering techniques and procedures to analyze and evaluate specific problem, data, or other features of the work which are broad in scope and complexity Reviewing and evaluating the quantity, quality, and overall adequacy of results and conclusions drawn from accomplishment of test engineering tasks Evaluating the configuration, capability, and operational relevance of test environments and ensuring they are properly available for the thorough testing of software releases (e.g. fault insertion/fault identification) Deconflicting schedule conflicts between multiple releases and test environments, providing high quality analysis and recommendations to the program test lead Using knoiwledge of DoD and UASF test policy, advise the Program Manager(s), Branch Test Lead(s), and Chief Developmental Tester on risks, opportunities, and issues related to the test program. Qualifications Candidate must have an active secret clearance. HS diploma and 10 yrs of experience Documenting review, test concept development, test methodology development, test plan development, test execution, and test reporting, data reduction and analysis, and end-user operational testing Reviewing program documentation and providing comments with test & evaluation perspective. Planning, evaluating and implementing program test strategies. Business Development Assist with Business Development activities as required to support Millennium's strategic business objectives, which may include but not limited to participation in technical interviews, creation of technical documentation, general proposal writing support and proposal color reviews. Physical Requirements Must be comfortable with prolonged periods of sitting at a desk and working on a computer. Must be able to lift up to 10-15 pounds at a time. Travel Requirements 20%
    $88k-108k yearly est. 17d ago
  • System Engineer

    Mantech International 4.5company rating

    Dahlgren, VA Jobs

    Shape the future of defense with ManTech! Join a diverse team dedicated to safeguarding our nation through advanced tech and innovative solutions. Since 1968, we've been a trusted partner to the Department of Defense, delivering cutting-edge projects that make a real impact. Dive into exciting opportunities in Cybersecurity, IT, Data Analytics and more. Propel your career forward and be part of something extraordinary. Your journey starts now-protect and innovate with ManTech! ManTech is seeking a motivated, career and customer-oriented Systems Engineer to join our team in Dahlgren, VA. Responsibilities, include but are not limited to: Lead the planning, scheduling, documentation, and execution of Integrated Test Articles (ITAs), which are built for Level 4 (Aegis Weapon System) and Level 5 (Aegis Combat System) test efforts at Land Based Test Sites (LBTS), waterfront, and at-sea The Integrated Product Team (IPT) Lead and will collaborate with other applicable Test Leads [e.g., Test Evaluation and Certification Cross Product Team (TEC CPT) Lead and the Joint Integrated Test Team (JITT) Lead], and with the prime developer and other government T&E organizations to ensure a streamlined test strategy is planned and executed Lead the planning, scheduling, documentation, and execution of CST events, to include Benchmark procedures for tactical and training capabilities, Mission Threads, and other supplemental test requirements Implement and enforce Enterprise T&E best practices, processes, and tools for the planning, execution, and tracking of T&E events and objectives Generate test plans, procedures, test reports, T&E briefing materials/Objective Quality Evidence (OQE) as required to support milestone meetings and other data calls Generate and maintain test schedules Support implementation of potential automated test and analysis solutions Basic Qualifications: 6+ years of experience test and planning execution for Combat System and its associated interfaces 2+ years of experience with Combat system test and integrated product testing Willingness to travel up to 20% within the continental United States (CONUS) and occasionally to ports outside of CONUS Ability to work independently in a dispersed team environment Ability to collaborate with technical teams consisting of both government and contractor personnel with diverse backgrounds and experience Willingness to work on a variety of tasks; some tasks will need to be balanced concurrently Proficiency in the use of common software packages such as Microsoft Word, Excel, and PowerPoint Preferred Qualifications: Bachelor's degree in engineering or a related field Understanding of CIC operations Knowledge of shipboard operations and procedures Strong analytical and problem-solving skills Motivated self-starter Knowledge and experience with T&E Leadership of teams or projects Good verbal and written communication skills while working within a dynamic team environment Security Clearance Requirements: Must be a U.S. Citizen with an active Secret clearance Physical Requirements: Ability to remain stationary for 50% of the time Occasional movement within the office for communication and accessing resources
    $79k-104k yearly est. 16d ago
  • Systems Engineer

    Mantech International 4.5company rating

    Dahlgren, VA Jobs

    Shape the future of defense with ManTech! Join a team dedicated to safeguarding our nation through advanced tech and innovative solutions. Since 1968, we've been a trusted partner to the Department of Defense, delivering cutting-edge projects that make a real impact. Dive into exciting opportunities in Cybersecurity, IT, Data Analytics and more. Propel your career forward and be part of something extraordinary. Your journey starts now-protect and innovate with ManTech! ManTech seeks a motivated, career and customer-oriented Systems Engineer to join our team in King George, VA. Responsibilities include but are not limited to: Assist in configuring and initiating system laboratories at land-based test sites Conduct Quality Control on procedures and completed work Develop, test, and install computer programs on surface combatant ships Provide shipboard installation and technical support for computer programs; verify media load cycles Aid in resolving computer program build/installation anomalies Develop and maintain documentation for build/installation processes Implement new technology within the build and installation process Create presentations as needed Prepare reports summarizing ship and site build and installation results Generate reports on computer program media load cycle/verification Support process definition, improvement, and development Up to 30% travel CONUS and OCONUC within the United States, Hawaii, Guam, Spain, Poland and Japan Minimum Qualifications: 2+ years of experience with Navy's AEGIS Combat and Weapon Systems (AWS/ACS) and baseline nomenclature Experience and knowledge of UNIX and LINUX operating systems Experience with software configuration management, production, and installation processes Experience and knowledge of the Quality Control processes Preferred Qualifications: Experience working on Navy surface ship programs or similar defense-related projects Proficiency in additional programming languages or scripting tools Information Assurance Level One Workforce Improvement Program D0D 8570.01 certification Clearance Requirements: Must be a US Citizen and have an active Secret clearance. Physical Requirements: Needs to occasionally move about inside the office to access file cabinets, office machinery, etc. Frequently communicates with co-workers, management and customers, which may involve delivering presentations. Must be able to exchange accurate information in these situations.
    $79k-104k yearly est. 4d ago
  • Systems Engineer

    Mantech International 4.5company rating

    Dahlgren, VA Jobs

    Shape the future of defense with ManTech! Join a diverse team dedicated to safeguarding our nation through advanced tech and innovative solutions. Since 1968, we've been a trusted partner to the Department of Defense, delivering cutting-edge projects that make a real impact. Dive into exciting opportunities in Cybersecurity, IT, Data Analytics and more. Propel your career forward and be part of something extraordinary. Your journey starts now-protect and innovate with ManTech! ManTech is seeking a motivated Systems Test Engineer to join our team in Dahlgren, VA. Responsibilities include but are not limited to: Provide technical, engineering, and analytical services for Test and Evaluation (T&E) related to the Multi-Warfare Planning Training System (MWPTS) software. The T&E effort supports the government's responsibility to determine if the system is ready to be delivered to the Navy and certified for training use by the fleet. Work proactively with CIAT and Combat System Element SMEs to understand and verify the capabilities hosted as part of MWPTS. Evaluate system-level requirements and write test plans, test cases, scenarios, and test procedures to assess the operational capabilities defined by the system requirements and mission threads. Execute test procedures, analyze data, and create concise trouble reports to help system developers and subject matter experts understand and recreate identified problems, characterizing the impact on overall system capabilities. Investigate and determine root causes of software issues and develop methods of testing, including appropriate configuration of software and hardware. Capture and document objective quality evidence to support and communicate test and analysis results. Participate in readiness reviews and release panels. Work within a contractor/government-integrated test team and support the customer with additional data, research, and analysis to bolster test findings and support recommendations for software certification. Minimum Qualifications: 8+ or more years of general experience and knowledge of combat system test & evaluation 4+ years of experience with developmental or operational systems/computer program versions in effect in the past seven (7) years, specifically experience gained with real-time Naval tactical and related support computer programs 5+ years of Computer Software Engineering experience in any of the following areas: Test requirements definition, Test Plan/Procedures development, maintenance, and execution, Test data reduction, automation, and analysis, or Computer program performance analysis and reporting Willingness to travel primarily to Dam Neck, VA, Norfolk, VA, and San Diego, CA, to support test events and requires flexibility for short notice test site support (up to 25%) Preferred Qualifications: Bachelor's degree in computer science, engineering or related field U.S. Navy or industry experience with Integrated Air and Missile Defense (IAMD) related watch stations: Tactical Action Officer (TAO), Anti-Air Warfare Coordinator (AAWC), Missile System Supervisor (MSS), Force Anti-Air Warfare Coordinator (FAAWC), Combat Systems Coordinator (CSC) Experience with combat system interoperability between AEGIS and SSDS baselines Knowledge of shipboard operations and procedures Solid background and understanding of system software development Strong analytical and problem-solving skills Motivated self-starter Clearance Requirements: Must be a U.S. Citizen and have an active Secret clearance Physical Requirements: Ability to remain stationary for 50% of the time. Occasional movement within the office or lab for communication and accessing resources.
    $79k-104k yearly est. 16d ago
  • Systems Engineer

    Mantech International 4.5company rating

    Dahlgren, VA Jobs

    Shape the future of defense with ManTech! Join a team dedicated to safeguarding our nation through advanced tech and innovative solutions. Since 1968, we've been a trusted partner to the Department of Defense, delivering cutting-edge projects that make a real impact. Dive into exciting opportunities in Cybersecurity, IT, Data Analytics and more. Propel your career forward and be part of something extraordinary. Your journey starts now-protect and innovate with ManTech! We are currently seeking a motivated Systems Engineer to join our team in King George, VA. Responsibilities, include but are not limited to: Support the Naval Surface Warfare Center Dahlgren Division (NSWCDD) on the AEGIS and Ballistic Missile Defense (BMD) surface ship program Provide support to the Aegis In-service Baseline Manager. Update and maintain existing production and installation processes and procedures. Perform Quality Control on procedures and completed work computer program production, test, and installation on surface combatant ships, computer program media load cycle and verification. Assist in the resolution of any computer program build/installation anomalies Develop and maintain build/installation documentation and implementation of new technology within the build and installation process. Develop presentations as required. Support process definition, improvement, and development. Basic Qualifications: High School Diploma or Graduate Equivalent Degree (GED) 4+ years of maintenance and operations experience with Navy's AEGIS Combat System Knowledge of Aegis Systems, Navy operations 10% Travel is required in the continental United States, Hawaii, Spain, and Japan. Preferred Qualifications: Experience with UNIX and LINUX operating systems Bachelor's degree in an associated discipline and at least 2 years of related experience, or equivalent experience/combined education, with 6 years of related experience Experience in software CM production and installation process Experience in Quality Control processes Security Clearance Requirements: Must be a U.S. Citizen and have an active Secret clearance Physical Requirements: Ability to remain stationary for 50% of the time Occasional movement within the office for communication and accessing resources
    $79k-104k yearly est. 4d ago
  • Systems Engineer

    Mantech International 4.5company rating

    Norfolk, VA Jobs

    Shape the future of defense with ManTech! Join a team dedicated to safeguarding our nation through advanced tech and innovative solutions. Since 1968, we've been a trusted partner to the Department of Defense, delivering cutting-edge projects that make a real impact. Dive into exciting opportunities in Cybersecurity, IT, Data Analytics and more. Propel your career forward and be part of something extraordinary. Your journey starts now-protect and innovate with ManTech! ManTech seeks a motivated, career and customer-oriented Systems Engineer to join our team in Norfolk, VA Responsibilities include but are not limited to: Assist in configuring and initiating system laboratories at land-based test sites Conduct Quality Control on procedures and completed work Develop, test, and install computer programs on surface combatant ships Provide shipboard installation and technical support for computer programs; verify media load cycles Aid in resolving computer program build/installation anomalies Develop and maintain documentation for build/installation processes Implement new technology within the build and installation process Create presentations as needed Prepare reports summarizing ship and site build and installation results Generate reports on computer program media load cycle/verification Support process definition, improvement, and development Up to 30% travel CONUS and OCONUC within the United States, Hawaii, Guam, Spain, Poland and Japan Minimum Qualifications: 2+ years of experience with Navy's AEGIS Combat and Weapon Systems (AWS/ACS) and baseline nomenclature Experience and knowledge of UNIX and LINUX operating systems Experience with software configuration management, production, and installation processes Experience and knowledge of the Quality Control processes Preferred Qualifications: Experience working on Navy surface ship programs or similar defense-related projects Proficiency in additional programming languages or scripting tools Information Assurance Level One Workforce Improvement Program D0D 8570.01 certification Clearance Requirements: Must be a US Citizen and have an active Secret clearance. Physical Requirements: Needs to occasionally move about inside the office to access file cabinets, office machinery, etc. Frequently communicates with co-workers, management and customers, which may involve delivering presentations. Must be able to exchange accurate information in these situations.
    $79k-103k yearly est. 4d ago
  • Senior Systems Engineer - Electronic Warfare Integration Engineer

    Lockheed Martin 4.8company rating

    Washington, DC Jobs

    What We're Doing At Lockheed Martin Rotary and Mission Systems (RMS), we're at the forefront of developing cutting-edge solutions for Airborne Electronic Warfare (EW) programs. Lockheed Martin's knowledge of airborne protection extends well beyond platform development. Lockheed Martin has fielded more than 3,000 airborne electronic warfare systems over the last 45 years. To help detect and defeat future threats, Lockheed Martin continues to advance EW systems for fixed, rotary wing, and unmanned aerial system application. Electronic Warfare | Lockheed Martin. Lockheed Martin Small Form Factor EW. Lockheed Martin Converged Cyber & Electronic Warfare. The Work We are in search of an experienced Electronic Warfare (EW) Integration Engineer to join our team. As an Engineering Professional, your responsibilities will include: ā€¢ Creation of traceability matrices, test plans, test procedures and reports ā€¢ Execution of integration, verification, and validation tests ā€¢ Engage program management, senior leadership, the customer, and suppliers as needed. Who we are Lockheed Martin is a global leader in aerospace, defense, and technology solutions. Our Owego campus is a thriving center of engineering expertise, fostering a culture that encourages creativity, excellence, and the creation of exceptional products. Owego, New York is located about 200 miles northwest of New York City and about 75 miles south of Syracuse New York. Niagara Falls, NYC, & Philadelphia are all within a few hours' drive from Owego. The Rolling hillsides, historic homes, quaint country shops, riverside restaurants, unique farm tours, fabulous festivals, beautiful river, and low cost of living make this region a great place to live. Finger Lakes. Village of Owego. Who you are To excel in this role, you should possess the following qualifications and attributes: ā€¢ Experience in engineering, particularly in the integration of digital and analog EW, Radar, or Communication systems. ā€¢ An innovative mindset, capable of finding solutions to complex engineering challenges. ā€¢ Commitment to excellence, attention to detail, and a dedication to delivering high-quality results. Typically, a level 3 employee is a mid-career engineer and has 3 to 5+ years of professional experience. Why Join Us Your Health, Your Wealth, Your Life Joining Lockheed Martin means becoming part of a team that's making a significant impact in the field of engineering. When you choose to work with us, you'll enjoy: ā€¢ The opportunity to work alongside industry leaders and top-notch design professionals. ā€¢ A chance to be a part of solving some of the world's most challenging engineering problems. ā€¢ A culture that encourages creativity, excellence, and the development of remarkable products. If you're ready to take your engineering career to the next level, work on groundbreaking projects, and be a part of a team that thrives on innovation, we encourage you to apply and be a part of our mission. Our flexible schedules, competitive pay and comprehensive benefits enable you to live a healthy, fulfilling life at and outside of work. Learn more about Lockheed Martin's competitive and comprehensive benefits package. Lockheed Martin Owego. Basic Qualifications: ā€¢ Bachelors degree in a STEM (Science, Technology, Engineering or Mathematics) discipline with 5+ years of related experience or a Master's degree with 3+ years. ā€¢ Electronic Warfare, Communication, and/or RADAR subsystem/system development and integration experience. ā€¢ Radio Frequency (RF) test equipment experience (Analyzers, Generators, CEESIMs, etc.). ā€¢ Proficient in MATLAB, C++ and/or Python. ā€¢ Experience verifying system requirements, interfaces, and architectures for complex systems. ā€¢ Secret clearance (required prior to start). Desired Skills: ā€¢ Familiarity with Electronic Warfare Integrated Reprogramming Database (EWIRDB). ā€¢ Experience programming Combat Electromagnetic Environment Simulator (CEESIM) including System Under Test (SUT). ā€¢ Experience with Software or Firmware development. ā€¢ Secret investigation within 5 years or Top Secret clearance. Security Clearance Statement: This position requires a government security clearance, you must be a US Citizen for consideration. Clearance Level: Secret Other Important Information You Should Know Expression of Interest: By applying to this job, you are expressing interest in this position and could be considered for other career opportunities where similar skills and requirements have been identified as a match. Should this match be identified you may be contacted for this and future openings. Ability to Work Remotely: Onsite Full-time: The work associated with this position will be performed onsite at a designated Lockheed Martin facility. Work Schedules: Lockheed Martin supports a variety of alternate work schedules that provide additional flexibility to our employees. Schedules range from standard 40 hours over a five day work week while others may be condensed. These condensed schedules provide employees with additional time away from the office and are in addition to our Paid Time off benefits. Schedule for this Position: 4x10 hour day, 3 days off per week Pay Rate: The annual base salary range for this position in California and New York (excluding most major metropolitan areas), Colorado, Hawaii, Illinois, Maryland, Minnesota, Washington or Washington DC is $85,500 - $150,765. For states not referenced above, the salary range for this position will reflect the candidate's final work location. Please note that the salary information is a general guideline only. Lockheed Martin considers factors such as (but not limited to) scope and responsibilities of the position, candidate's work experience, education/ training, key skills as well as market and business considerations when extending an offer. Benefits offered: Medical, Dental, Vision, Life Insurance, Short-Term Disability, Long-Term Disability, 401(k) match, Flexible Spending Accounts, EAP, Education Assistance, Parental Leave, Paid time off, and Holidays. (Washington state applicants only) Non-represented full-time employees: accrue at least 10 hours per month of Paid Time Off (PTO) to be used for incidental absences and other reasons; receive at least 90 hours for holidays. Represented full time employees accrue 6.67 hours of Vacation per month; accrue up to 52 hours of sick leave annually; receive at least 96 hours for holidays. PTO, Vacation, sick leave, and holiday hours are prorated based on start date during the calendar year. This position is incentive plan eligible. Pay Rate: The annual base salary range for this position in most major metropolitan areas in California and New York is $98,300 - $170,315. For states not referenced above, the salary range for this position will reflect the candidate's final work location. Please note that the salary information is a general guideline only. Lockheed Martin considers factors such as (but not limited to) scope and responsibilities of the position, candidate's work experience, education/ training, key skills as well as market and business considerations when extending an offer. Benefits offered: Medical, Dental, Vision, Life Insurance, Short-Term Disability, Long-Term Disability, 401(k) match, Flexible Spending Accounts, EAP, Education Assistance, Parental Leave, Paid time off, and Holidays. This position is incentive plan eligible. Lockheed Martin is an equal opportunity employer. Qualified candidates will be considered without regard to legally protected characteristics. The application window will close in 90 days; applicants are encouraged to apply within 5 - 30 days of the requisition posting date in order to receive optimal consideration. Join us at Lockheed Martin, where your mission is ours. Our customers tackle the hardest missions. Those that demand extraordinary amounts of courage, resilience and precision. They're dangerous. Critical. Sometimes they even provide an opportunity to change the world and save lives. Those are the missions we care about. As a leading technology innovation company, Lockheed Martin's vast team works with partners around the world to bring proven performance to our customers' toughest challenges. Lockheed Martin has employees based in many states throughout the U.S., and Internationally, with business locations in many nations and territories. Experience Level: Experienced Professional Business Unit: RMS Relocation Available: Possible Career Area: Systems Engineering: Other Type: Full-Time Shift: First
    $98.3k-170.3k yearly 6d ago
  • Model Based System Engineer- MBSE Cleared

    EXB Solutions, Inc. 3.4company rating

    Hartford, CT Jobs

    Join the elite team at EXB Solutions, a Quest Global company, We are seeking exceptional individuals to join our established team as a MBSE Engineers- where your expertise will make an impact that matters. Elevate your career in a company dedicated to excellence and the pursuit of safety and mission success. Now seeking MBSE (Model Based System Engineering) Engineers with: Minimum 3 year of MBSE Experience Minimum 5 years of Systems Engineering Experience Experience with Systems Engineering Technical Standards, Principles, Theories, Concepts and Techniques Knowledge of at Least Two Systems Engineering Functions: Requirements Development and Management, Architecture, System Design, Integration, and Test Ability to Communicate Efficiently and Effectively to Coordinate Systems Engineering Activities and Report Status Ability to Work Effectively Within a Team and Drive Tasks to Closure that are High in Quality and Technically Accurate Good Interpersonal and Organizational Skills Demonstrate Computer Proficiency Using Microsoft Word, Excel, PowerPoint, and Outlook Experience with SysML This role will need to be local to Hartford, CT or Willing to Relocate. This role requires candidates to be a US Citizen due to government contracts. As our Model Based Systems Engineer (MSBE) team member, you will: Coordinating, Developing, and Managing Technical Requirements Migrating Legacy Artifacts (Requirements, SDP, SVP) to Cameo Models with emphasis on System Decomposition, Functions, Interfaces, and Performance, to Describe and Analyze Complex Systems and Software Preferred Qualifications: Familiarity with ARP-4754A and DO-178B/C Aerospace Design and Verification Processes is Highly Preferred Familiarity with the Agile Management Framework Familiarity with Modular Open Systems Approach (MOSA) Familiarity with Military Engines Familiarity with Digital Thread / Digital Twin Concept Why EXB Solutions: At EXB Solutions, we foster a collaborative environment and believe in providing ample learning opportunities to grow and expand your skills with us. Our company culture sets us apart from others as well as: 401k with Employer-Match Contributions Medical, Dental, and Life coverage Disability Benefits Gym Membership Generous compensation structure Significant opportunities for advancement Next Generation / Cutting Edge Technology Projects Certification Assistance Available (Approval Required) Flexible, Friendly and Fun work environment About Us EXB Solutions is a leading provider of engineering services focused on mission and safety critical applications. With a strong reputation for delivering innovative solutions to clients in the aerospace, defense, space, and other safety-critical industries, we are committed to ensuring the safety, reliability, and performance of critical systems. Our team of experienced engineers specialize in developing solutions that meet the highest standards of safety, reliability, and performance. We work closely with Fortune 50 clients to understand their unique requirements and develop solutions that are tailored to their specific needs. Whether it's developing custom avionics software for unmanned aerial vehicles or implementing safety-critical software for medical devices, we have the expertise and experience to deliver the highest quality solutions. At EXB Solutions, we are committed to excellence because #whatwedomatters. On September 1, 2022, EXB Solutions announced it is now part of Quest Defense, which is a Quest Global company. Learn more at ******************** Know about our work at ********************************* Check out our other openings at ********************************* EXB Solutions provides equal employment opportunities to all employees and applicants for employment and prohibits discrimination and harassment of any type without regard to race, color, religion, age, sex, national origin, disability status, genetics, protected veteran status, sexual orientation, gender identity or expression, or any other characteristic protected by federal, state or local laws. This policy applies to all terms and conditions of employment, including recruiting, hiring, placement, promotion, termination, layoff, recall, transfer, leaves of absence, compensation and training.
    $67k-92k yearly est. 12d ago
  • Vulnerability Management engineer

    Excelon Solutions 4.5company rating

    Washington, DC Jobs

    Mid-level Vulnerability & Patch Management Engineer Onsite Washington, DC, US Permanent | Client is looking for a Mid-level Vulnerability & Patch Management Engineer to support thier government customer located in Washington, DC . This position is 100% on site. DESCRIPTION OF RESPONSIBILITIES: Responsible for supporting the personnel, applications, and appliances employed to maintain compliance with all regulatory requirements to include but not limited to extensive engineering of Windows and Linux operating systems. Installing, configuring and tuning operations systems, third party applications and operating system security patches. Troubleshooting operating systems, applications, and databases. Working with systems hosted in a complex, multi-layers network. Cybersecurity control implementation, IT infrastructure management, resource monitoring and alerting. Working with SAN, Enterprise backup solutions and fault tolerant technologies Other duties as assigned. REQUIRED DEGREE/EDUCATION/CERTIFICATION: Bachelor of Science in Computer Science or related field or four to seven (4-7) years of experience in the information technology field. Must be IAT or IAM level III certified (CASP, CCNP, CISA, CISM, CISSP) REQUIRED SKILLS AND EXPERIENCE: Working knowledge of multi-platform engineering, planning, coordinating, and executing patch management operations, creating baselines, and configuring hardened systems Strong experience with centrally managed Linux and Window systems patched through automated processes or in some cases manually if required to bring the systems into compliance. Hands-on experience with Microsoft Endpoint Configuration Manager. Ability to work closely with and clearly articulate to the customer and technical team leads the scope of solutions and remediation plans. Strong interpersonal and communication skills, comfortable with most aspects of operating system administration; for example, system installation and configuration, fundamentals of security, installing third party software. Understands end-user operating systems, server operating systems, virtualization, and middleware. Familiarity with fundamental networking/distributed computing environment concepts; understand basic routing concepts. Ability to write scripts in some administrative language (TK, Perl, VBScript, PowerShell, etc.) Knowledge of cybersecurity control implementation, IT infrastructure management, resource monitoring and alerting. Knowledgeable with SAN, Enterprise Backup solutions and fault tolerant technologies. REQUIRED CLEARANCE: Must have an active Top-Secret clearance.
    $92k-119k yearly est. 10d ago
  • Network Administrator

    Directviz Solutions, LLC 3.6company rating

    Herndon, VA Jobs

    DirectViz Solutions (DVS) is a dynamic and rapidly growing government contractor committed to delivering innovative IT solutions that address the mission-critical needs of our government clients. Through the expertise and dedication of our talented team, we provide cutting-edge technology services designed to achieve success and exceed expectations. At DVS, we prioritize our employees as our greatest asset. We offer competitive compensation, comprehensive medical benefits, a 401(k) match, generous PTO accrual, professional development reimbursement, corporate-funded technology certifications, and robust employee recognition and appreciation programs. DVS is seeking a Network Administrator to support our team in located at Herndon, VA. This role is onsite 5 days per week. Key Responsibilities: Configure Cisco data center switches (NX-OS), Palo Alto Firewalls and F5 load balancers. Experience managing Linux and Windows servers. DevOps experience with Ansible, managing a mixed RedHat and Windows environment. Manage network equipment including Routers, Citrix NetScaler/Application Deliver Controller (ADC), Cisco/Brocade switches, firewalls, and associated peripherals. Configure routers, switches, and firewalls following the client's direction according to CBC/DAC network topology and allowing specific ports and protocols. Provide network administration support in the areas of design, installation, configuration, monitoring and testing of network architectures to manage and maintain an unclassified and classified network infrastructure utilizing state-of the- art and cutting-edge technology. Implement, administer, maintain, and configure the client network to monitor, detect, and respond to threats on the network. Provide immediate briefings to the client of any immediate/emergency threats to the network. Plan and implement future network upgrades as directed by the client. Research solutions for new equipment, software, performance improvements, and network configuration/management to support all client networking requirements. Ensure that all equipment is based on current industry standards including any new or emerging technologies. Keep up-to-date records and documentation of network topology and equipment configurations to support network status reports, network troubleshooting, and network logging. Install, support, and maintain new network hardware and software for the CBC infrastructure. Implement enterprise network policy and maintain Cybersecurity initiatives and directives as directed by the client. Provide technical and programmatic support to assist other client teams and supported organizations in all aspects of planning, engineering, fielding and operating IT systems and resources. Maintain VPN architecture and optimize network access to remote disaster recovery sites. Configure, manage and utilize Intrusion Detection system (IDS)/Intrusion Prevention system (IPS) to detect and prevent threats to the CBC/DAC environment and identify potential security concerns and solutions. Assist in technical evaluation of technical vendor submissions for compliance with client network specifications. Review and update SOPs and STIG checklists quarterly. Ensure that all equipment is based on current industry standards including any new or emerging technologies. Participate in Change Management Control processes and submit Request for Change in accordance with CBC G-6 policy. Provide network support for IP based VTC systems. Install, remove, configure and repair encryption equipment to alter, expand or maintain the client secure computer network used for classified processing. Required Qualifications: Experience configuring routers, firewalls, and switches. Experience managing network equipment including Routers, Citrix NetScaler/Application Deliver Controller (ADC), Cisco/Brocade switches, firewalls, and associated peripherals. Experience designing, installing, configuring, monitoring, and testing unclassified and classified network architectures. Experience researching solutions for new network equipment, software, configurations, and management. Experience documenting network topology and equipment configurations. Experience installing, supporting, and maintaining new network hardware and software. Experience implementing enterprise network policy and maintaining Cybersecurity initiatives and directives. Experience detecting and responding to threats on a network. Experience configuring, managing, and utilizing Intrusion Detection system (IDS)/Intrusion Prevention system (IPS) to detect and prevent threats. Experience reviewing potential vulnerabilities/threats and providing recommendations and potential solutions. Experience with Change Management Control processes and submitting Requests for Change (RFC). Experience in assessing new technologies and devices. Experience installing, removing, configuring, and repairing encryption equipment. Knowledge of Communications Security (COMSEC) policies. Must be able to work independently. Must have strong verbal and written communication skills. Must be able to follow instructions and provide support to all team members. Physical and Mental Qualifications: Maintain focus and awareness throughout scheduled working hours. Perform tasks requiring prolonged periods of sitting or standing at a desk, utilizing a computer, mouse, and keyboard. Lift and move objects weighing up to 15 pounds as needed. Exhibit excellent verbal and written communication skills, with a strong command of the English language. Demonstrate the ability to work independently while also collaborating effectively as part of a team. Quickly learn and retain routine tasks and processes. Possess strong organizational skills, attention to detail, business correspondence proficiency, and self-management capabilities. Perform the essential functions of the role satisfactorily; reasonable accommodation will be provided for employees with disabilities upon request. Accept and adapt to additional responsibilities or changes to assigned duties as determined by DirectViz Solutions (DVS). If you thrive on solving complex problems and building meaningful connections, we'd love to hear from you. Join our team and make an impact today! DirectViz Solutions, LLC (DVS) is an equal opportunity employer who prohibits discrimination and harassment against any employee or applicant for employment based on race, sex (including pregnancy), age, gender identity, creed, religion, national origin, sexual orientation, marital status, genetic information, disability, political affiliation, protected veteran status, or any other status protected by federal, state or local law. DVS has a zero-tolerance policy for harassment, threats, coercion, discrimination, and intimidation. Employees may file a complaint or exercise any right protected by Executive Order 11246, Section 503 of the Rehabilitation Act of 1973, as amended, Section 4212 of the Vietnam Era Veterans Readjustment Assistance Act of 1974, or the Veterans Employment Opportunities Act of 1998.
    $59k-75k yearly est. 17d ago
  • Principal Digital Engineer

    Renesas Electronics 4.8company rating

    Johns Creek, GA Jobs

    Renesas is seeking a talented individual for its memory interface products team. These products primarily serve data centers for AI and cloud computing, delivering the highest bandwidth for intensive computing while consuming low power. This exciting role is responsible for developing the digital sections of leading-edge memory data buffer chips for DDR5, DDR6, and beyond. Responsibilities: Propose, architect, and design RTL in Verilog for use in a mixed-signal integrated circuit Contribute as part of a highly experienced team of engineers with extensive cross-functional skill sets Apply clocking controls, FSM design, low-power techniques, and high-speed design concepts Participate in design, architecture, and verification reviews Oversee digital backend design, including synthesis, static timing analysis, and logic equivalence checking Create documentation targeting design, verification, and test teams Assist with the proposal, definition, documentation, and implementation of new features Mentored and trained junior engineers and New College Grad engineers. Qualifications: Education: Bachelor or Master's degree in Electrical Engineering, Computer Engineering, Computer Science, or a related field, with a minimum 12+ years of experience 8+ years of direct experience in ASIC/IC design with deep knowledge of the entire IC design flow Experience in architecting digital designs and writing device-level or sub-system specifications. Fluent in Verilog RTL coding and ASIC design methodology Expertise in digital design implementation, including logical synthesis and DFT insertion with high coverage Experience with static timing analysis and creation of place and route constraints Proficiency in formal verification, linting, and CDC/RDC checking Knowledge of asynchronous clock crossings and synthesis implications of RTL Experience implementing and verifying ECOs on RTL, synthesized, and post-route netlists Competence in developing design constraints for synthesis, STA, and P&R hand-off Experience with gate-level simulations and understanding the causes and implications of timing violations Familiarity with ATPG generation and ATE support (a plus) Experience in DFT or physical design (a plus) Additional Information: Renesas is an embedded semiconductor solution provider driven by its Purpose ā€˜To Make Our Lives Easier.' As the industry's leading expert in embedded processing with unmatched quality and system-level know-how, we have evolved to provide scalable and comprehensive semiconductor solutions for automotive, industrial, infrastructure, and IoT industries based on the broadest product portfolio, including High high-performance computing, Embedded Processing, Analog & Connectivity, and Power. With a diverse team of over 21,000 professionals in more than 30 countries, we continue to expand our boundaries to offer enhanced user experiences through digitalization and usher in a new era of innovation. We design and develop sustainable, power-efficient solutions today that help people and communities thrive tomorrow, ā€˜To Make Our Lives Easier.' At Renesas, you can: Launch and advance your career in technical and business roles across four Product Groups and various corporate functions. You will have the opportunities to explore our hardware and software capabilities and try new things. Make a real impact by developing innovative products and solutions to meet our global customers' evolving needs and help make people's lives easier, safe and secure. Maximize your performance and well-being in our flexible and inclusive work environment. Our people-first culture and global support system, including the remote work option and Employee Resource Groups, will help you excel from the first day. Are you ready to own your success and make your mark? Join Renesas. Let's Shape the Future together. Renesas Electronics is an equal opportunity and affirmative action employer, committed to celebrating diversity and fostering a work environment free of discrimination on the basis of sex, race, religion, national origin, gender, gender identity, gender expression, age, sexual orientation, military status, veteran status, or any other basis protected by federal, state or local law. For more information, please read our Diversity & Inclusion Statement.
    $117k-147k yearly est. 10d ago
  • NDIS System Administrator

    Data Intelligence, LLC 4.5company rating

    Quantico, VA Jobs

    DI is looking for a System Administrator with National DNA Index System (NDIS) experience in Quantico, Virginia. This is an onsite position. is contingent upon award of contract** Job Responsibilities: Maintain and operate McAfee e-Policy Orchestrator software suite throughout the system by deploying all virus and system definitions, system updates, etc., as they are released by the manufacturer. Develop and configure on-demand, daily, weekly, and/or monthly scans for all traffic, files, input/outputs devices etc. Deploy antivirus updates to all servers and workstations Maintain and review all artifacts associated with the CODIS security security program in accordance with CODSI Configuration Management Plan Respond to 24x7x365 mission critical incidents defined by CODIS Operations PM, onsite or remotely, within a (2) two-hour window from being notified by the COR/CO. Provide an after-action report within two-workdays for all mission critical incidents. Patch management Manage, operate, and maintain the CODIS PKI environment to include issuing, managing, revoking, and tracking certificates. Provide support to all laboratories in the issuance, management, and maintenance of the X.509 device/user certificates. Perform the certificate issuance/revocation process necessary for the entire CODIS/NDIS environment. Maintain hardware and software of web server, test, and development environments in conjunction with CJIS and NDIS security policies requirements to include performing a technical refresh of production CODIS web server and test server environments Required Skills/Experience: Three years' experience as a systems administrator. CODIS experience knowledge is Preferred. Displays attention to details and has strong time management, multi-tasking, and communication skills. Bachelor of Science Degree. At least Top Secret level security clearance Data Intelligence, DI is an established small business that has supported the critical missions of our government clients since 2005. We provide full life cycle system development, systems engineering, cybersecurity, and supporting analytical and logistics support to C4ISR and other complex systems. We are an equal opportunity employer that offers competitive salaries, comprehensive benefits, a team-oriented environment, and opportunities for advancement. Our excellent employee retention record reflects our employee focus. We work with Veteran's organization to proactively hire those who have served our country. We offer medical, dental and vision insurance, 401k, PTO and 11 paid holidays. Data Intelligence is an Equal Opportunity/Affirmative Action employer. All qualified applicants will receive consideration for employment without regard to race, age, color, religion, creed, sex, sexual orientation, gender identity, national origin, disability, or protected Veteran status.
    $80k-108k yearly est. 13d ago
  • Senior iOS Engineer, Smart Home app

    Level Home 4.1company rating

    Redwood City, CA Jobs

    Please note: This is an onsite (as opposed to Remote) role, based in our Redwood City, California office. Unfortunately, we are unable to accommodate Remote work for this position. About the company: Founded by ex-Apple Product and Engineering leaders, Level is redefining the smart home with technology that is simple, intuitive, useful, and invisible. At Level, we take a unique approach to designing products - one that shifts focus from what we make to how we make it and who we make it for. Its an approach that results in elegant and unique solutions, raising the bar for the entire smart home ecosystem. Now part of the Assa Abloy family, a global leader in access solutions, Level is positioned to accelerate innovation and expand our reach even further. This partnership strengthens our ability to deliver world-class smart home experiences while maintaining the vision and design excellence that have always set us apart. About the role: We are seeking a Sr. iOS Developer to work on mobile applications that our customers use daily to interface with their Level Home smart home devices. You will work closely with world-class designers, top-tier firmware engineers, and server-side API developers to create a beautiful and integrated experience on iOS. Responsibilities: o Architect, develop, and unit test iOS applications that provide delightful user experiences o Interface with firmware running on Level Home Inc. hardware o Interface with server-side APIs o Architect and develop an SDK for partner integrations with our products Required Qualifications: o Proficiency in Swift mobile development for IOS o 3+ years professional software engineering experience o Experience using REST APIs o Enthusiasm for Test-Driven Development o Passion for well-crafted code o Strong general coding skills o Experience developing libraries that are in use by third party developers o Interest in IoT and home automation devices o You've shipped an app that is available in the App Store o Bachelor's degree in Computer Science or similar required. Master's degree preferred. It would be great if you also possess: o Experience optimizing iOS code for Bluetooth Low Energy (BLE) hardware interaction o Open source contributions (link to your GitHub Profile ++) More about Level Home: When we look around our homes today, we see opportunity. We see smart products that lack utility and connected devices that push us further apart. We see consumers with high expectations, current standards set too low, and products that simply fail to deliver. Level Home Inc. is re-inventing the standard. Were redefining smart, to center around thoughtfulness, practicality, and the people who make the problem worth solving. We approach product design with a blank slate, zero assumptions, and an open-mind, because the way a problem is defined sets the stage for its solution. We couple deep expertise with unbridled curiosity, because to us smart means simple, intuitive, and useful. We start with empathy, take new perspectives, and challenge existing standards. People are at the heart of what we do, and respecting their style, choices, and preferences is the first step to uncovering a thoughtful solution that truly improves their daily lives. After all, were not just designing products for a house, we are designing them for the people who make it a home. Level Home Inc. is an Equal Opportunity Employer and all qualified applicants will receive consideration for employment without regard to race, color, religion, disability or genetic information, sex, sexual orientation, gender identity, or national origin. A note to Recruitment Agencies: Please don't reach out to Level employees or leaders about our roles -- weve got Recruiting covered. We don't accept unsolicited agency resumes and we are not responsible for any fees related to unsolicited resumes. Thank you for your understanding. {Thread, Matter, smarthome, smart-home, Internet of things, I0T, IoT, iphone, objective C, bluetooth, ble, bluetooth low energy}
    $131k-182k yearly est. 11d ago
  • Engineer, Sr - 6049

    Obxtek 4.4company rating

    Arlington, VA Jobs

    OBXtek is currently staffing for an Engineer, Senior to work within the USAF Office of the Deputy Assistant Secretary for Science, Technology and Engineering (AQR). This position supports engineering matters for AQR with responsibilities for planning, programming, and budgeting efforts for the Air Force Science and Technology (S&T) portfolio, as well as the Air Force experimentation, prototyping, development planning, and technology integration programs. AQR serves as the Air Force S&T Executive, Air Force Acquisition Chief Engineer and Technical Authority, the Air Force Corrosion Control and Prevention Executive, Air Force Standardization Executive, and functional manager for the scientist and engineer (S&E) career field and establishes engineering policy and guidance for Air Force systems, subsystems, and end-items. This position provides engineering support to maturing technologies development and program transition based on systems engineering and integration perspectives and engineering assessments of technical transfer activities. Well qualified individuals will utilize engineering knowledge to perform contractor support functions in support of USAF and USSF capabilities through staffing processes, advising on policies and programs, and through task management (i.e., TMT/ETMS2/CATMS). This position is 100% onsite 5 days per week at the Pentagon. The tasks for this position include: Studying, analyzing, and providing recommendations on requirements, acquisition strategies, program documentation, program phase transition plans, supplemental information, and program execution. Providing impartial assessments of programs approaching milestone decisions and of prime Contractor technical and operational issues. Researching and providing technical analysis for the preparation of programmatic documentation and responses to Congressional, DoD, Air Force Secretariat, and/or Air Staff. Making ties between technology gaps and S&T efforts. Providing advice for use of S&T efforts to future force. Communicating technical ideas for non-technical people to be able to understand. Proficiency in computer-based communication/office IT tools and ability to learn software packages used by the DAF. Handles confidential and classified (Secret/TS-SCI) information, correspondence, and communications. Providing engineering assessments of Technical Transition activities to include mission engineering, early systems engineering, experimentation, and prototyping activities. Researching and analyzing program trends, identifying issues, and recommending solutions. Performing technical studies on individual weapon systems, weapon system integration and capability areas. Analyzing weapon systems architecture and integration and identify issues. Identifying operational deficiencies and other key issues, conducting research to develop alternatives, and providing recommendations and support for resolution. Performing weapon system/technology/cost trade-off studies. Reviewing and assessing technical, operational, and management concepts, documents, and specifications. Assessing the capability of weapon system design alternatives to provide the required capability. Providing expertise on Model Based Systems Engineering implementation and policy. Assessing policy requirements and procedures governing Air Force acquisition engineering and technical services. Assessing operating system end of life support analysis and recommending strategies to address impact on weapons. Any other tasks closely associated with the performance of duties list above and as coordinated with the COR. Qualifications: Active TS/SCI Clearance This position provides engineering support to maturing technologies development and program transition based on systems engineering and integration perspectives and engineering assessments of technical transfer activities. Well qualified individuals will utilize engineering knowledge to perform contractor support functions in support of USAF and USSF capabilities through staffing processes, advising on policies and programs, and through task management (i.e., TMT/ETMS2/CATMS). Masters' degree in an Engineering speciality, preferably systems engineering. Relevant acquisition systems engineering experience in a System Program Office and/or HAF. A minimum of 10 years of DoD acquisitions (PPBE) and systems engineering experience. A strong understanding of the Air Force planning, programming, budget, and execution (PPBE) process. Highly desired: experience with DoD task management systems such as TMT, ETMS2, or CATMS. COVID Policy: Prospective and/or new employees will be required to adhere with OBXtek's COVID-19 policy. Employees working onsite at a customer location must comply with customer COVID-19 policy which may include any or all the following: social distancing, masks, vaccination, mandatory statements regarding one's vaccination status and mandatory testing . Headquartered in McLean, Virginia and founded in 2009, OBXtek is a fast-growing leader in the government contracting field. Our mission is Our Peopleā€¦Our Reputation. Our people are trained professionals who enhance our customers' knowledge and innovation using technology, collaboration, and education. We offer a robust suite of benefits including comprehensive medical, dental and vision plans, Flexible Spending Accounts, matching 401K, paid time off, tuition reimbursement plans and much more. As a prime contractor for 93% of our current work, OBXtek pairs lessons learned across disciplines with industry standard quality practices such as CMMI-Dev Level III, ITIL, 6Sigma, PMI, and ISO. Our rapid growth has been recognized by INC500, the Washington Business Journal, and Washington Technology magazine. OBXtek is an Equal Opportunity Employer and does not discriminate based on race, color, religion, sex, age, national origin, gender identity, disability, veteran status, sexual orientation or any other classification protected by federal, state or local law.
    $90k-109k yearly est. 5d ago
  • IT Engineer

    Hexaware Technologies 4.2company rating

    Reston, VA Jobs

    At Hexaware Technologies, we are a leading global IT Services company, dedicated to driving digital transformation and innovation for businesses around the world. Founded in 1990, Hexaware has grown into a global trusted partner for enterprises, offering comprehensive AI empowered services including IT Consulting, Application Development, Infrastructure and Cloud Management and Business Process services. At Hexaware we are a community of creative, diverse, and open-minded Hexawarians creating smiles through the power of great people and technology. We pride ourselves on our people-centric culture and commitment to sustainability. Our diverse team of over 30,000 professionals across 30 countries is driven by a shared passion for innovation and excellence. We foster a collaborative environment where creativity and continuous learning are encouraged, enabling our employees to thrive and grow. IT Engineer II Job Description: Provide support for complex or specialized application or infrastructure tasks, incidents, changes and requests Good communication skills (oral and written) Attention to detail Ability to multi-task Knowledge and use of ticketing systems Knowledge with: - Unix/Linux - Cloud services (Amazon) Proficiency in running incident calls with up to 25 people Managing incident data using tools like SharePoint, Confluence Experience in managing Helpline numbers. Experience troubleshooting and managing triage calls with cross-functional teams. Use of Office products including Excel, Word, Outlook) Performs and manages production support tasks and activities which requires IT expertise and knowledge of business processes, general application and infrastructure support. Manage and triage and resolve incidents utilizing knowledge articles as well as prior knowledge and experience with the systems Executing changes based on change information or knowledge base instructions Responding to IT service request according to written instructions but may also rely on their experience to resolve issues. Monitor and execute production processing per requests or runbooks. Perform eyes on glass monitoring of systems and applications and proactively look for alerts or potential issues. Responsible for communicating, coordinating and working with other groups and ensuring the availability of the production environment. Manage troubleshooting calls with cross functional teams Lead and manage triage efforts for incidents that have potential for business impact, including performing analysis of other activities in the environment that could be causing the issue, troubleshoot the systems using tools, dashboards and command line Eyes on glass monitoring of monitoring system, email and MS-Teams Chats. - Ownership and use of the MS-Teams conference lines for triage and follow up calls. Engage and escalate as necessary to ensure the proper resources are on the triage calls to expedite recovery and minimize impact to Client external customers and internal users. - Inform management throughout the triage process of progress, issues and business impacts Top 3 must have skills: Use of tools such as xMatters, Everbridge and direct phone calls as needed Use of Office products including Excel, Word, Outlook). On call 24X7 Primary support. Education/Experience: 1-3 years IT and Cloud support experience Bachelor's degree or equivalent training required Equal Opportunities Employer: Hexaware Technologies is an equal opportunity employer. We are dedicated to providing a work environment free from discrimination and harassment. All employment decisions at Hexaware are based on business needs, job requirements, and individual qualifications. We do not discriminate based on race including colour, nationality, ethnic or national origin, religion or belief, sex, age, disability, marital status, sexual orientation, parental status, gender reassignment, or any other status protected by law. We encourage candidates of all backgrounds to apply.
    $79k-101k yearly est. 15d ago
  • Senior PowerApps Developer

    Avid Systems LLC 4.7company rating

    Washington, DC Jobs

    Avid Systems is a Washington DC-based managed services provider and Value-Added Reseller (VAR) specializing in cloud enablement, infrastructure, business application development, mobility, virtualization, security, storage, and cybersecurity. With experience across diverse industries, we focus on empowering our clients to stay ahead with innovative technology solutions. Since 2004, Avid Systems has been at the forefront of digital transformation, providing our clients with quality-driven and competitive technology solutions. At Avid Systems, our mission is to provide clients with the highest-quality business and technology consulting services at the most competitive rates. We pride ourselves on our technical expertise and our commitment to addressing the unique technology challenges that businesses face daily. Our vision is to bridge the gap between today's technology and the future, ensuring our clients' sustained growth and success. Our values and our vision support and enhance our corporate values: We are 100% Customer Focused - We anticipate and meet the needs of our customers with our expertise, efficiency and relentless focus on exceeding their expectations. We are driven by our guiding principle, that the customer's mission is our commitment. Earned Trust - We earn our customers' trust by delivering excellent performance in an ethical way. Our business decisions are based on traditional values - honesty, trust and integrity. Avid Systems is seeking a PowerApps Developer to support the DC Office of the Chief Technology Officer (OCTO) in designing, developing, and deploying applications using Microsoft Power Platform. The developer will work closely with business analysts and stakeholders to build solutions that enhance workflow automation and data management. Key Responsibilities: Design, develop, and deploy applications using Microsoft PowerApps, Power Automate, and Dataverse. Create responsive, user-friendly applications that align with business requirements. Integrate PowerApps with other Microsoft tools, including SharePoint, Dynamics 365, and Azure services. Develop custom connectors and workflows to automate business processes. Troubleshoot, maintain, and optimize existing PowerApps solutions. Collaborate with stakeholders to understand and implement business needs. Ensure applications comply with security and governance policies. Qualifications & Experience: 3+ years of experience developing applications using Microsoft Power Platform. Strong knowledge of PowerApps (Canvas & Model-driven apps), Power Automate, and Dataverse. Experience integrating PowerApps with SharePoint, Azure, and Dynamics 365. Understanding of SQL, JavaScript, and REST APIs for custom development. Knowledge of UI/UX best practices for application design. Ability to troubleshoot and resolve PowerApps-related issues. Strong documentation and communication skills. Bachelor's degree in IT or related field (or equivalent experience). Additional Requirements: Onsite 4 days a week, with one day remote
    $110k-141k yearly est. 10d ago
  • Sr Pega RPA Developer

    KMM Technologies, Inc. 3.4company rating

    Reston, VA Jobs

    Sr Pega RPA Developer Duration: Long Term Hybrid - Expecting at least once or twice a month - Reston VA Office Experience with PEGA RPA; Certified PEGA RPA (Robot Manager) Carefirst has 10-12 RPA Bots supporting customers Make sure the bots are running properly and make changes as needed Identify new opportunities for automation and implement. Upgrade Pega system Automation of the business processes Experience with .Net experience Convertible position; DMV Mandatory skills: Pega RPA development experience Certified Pega RPA developer PURPOSE: Performs complex analysis, design, development, testing, and debugging of computer software ranging from operating system architecture integration and software design to selection of computer systems, language, and/or equipment. Designs, develops/codes, tests, and documents critical and complex application programs for computer systems. Works with appropriate stakeholders to define system scope and objectives and establish baselines. Establishes project standards and processes. Breaks down software requirements and creates highly complex systems. ESSENTIAL FUNCTIONS: 25% Perform technical detail design, coding, testing of applications. Serves as subject matter expert for both customer and internal discussions related to maintaining and enhancing existing software systems. Develop and/or analyze interface design documentation. Comply with hardware and software systems standards and procedures. Deliver large systems for tens of thousands of users. Comply with code quality, migration and dev/ops standards and policies 25% Performs software analysis, including requirements and uses case development and design. Implements and documents source code to design specifications. Performs software testing including developing and running automated unit tests, drafting, and executing structured automated test cases. Compile, assess, and report data results from software testing and analysis. 25% Develops software solutions for enterprise environments and other technologies. Analyzes and implements functional and non-functional (e.g. performance, availability) requirements and designs, implements, and tests software. Performs operations & maintenance (O&M) of existing systems. Identifies areas for improvement and streamlining. Demonstrated experience with front-end and back-end programing and integration frameworks and tools. Assist in the estimation of development of application features 25% Provides direct technical support for high level, high visibility and critical trouble calls for supported applications. Works with cross functional teams to develop highly reliable software that runs at scale. Provides recommendations to infuse new technology. Extend open-source libraries and systems as necessary to meet the needs of the team. Play a crucial role in product scoping and roadmap / architecture discussions. Continually evaluate emerging technologies to identify opportunities, trends, and best practices. Mentoring of junior software engineers Qualifications To perform this job successfully, an individual must be able to perform each essential duty satisfactorily. The requirements listed below are representative of the knowledge, skill, and/or ability required. Reasonable accommodations may be made to enable individuals with disabilities to perform the essential functions. Education Level: Bachelor's Degree Education Details: Information Technology or Computer Science Experience: 5 years experience with software development, basic coding and compilation, software architecture/design, user requirements definition and software specification development. Looking for Pega RPA developer. Certified Pega developer is a plus. In Lieu of Education In lieu of a Bachelor's degree, an additional 4 years of relevant work experience is required in addition to the required work experience. Must have Qualifications Experience in Pega RPA development, managing pega servers and upgrading them. Experience in understanding the Pega workflow and proficient in creating new BOTs with in short time. Certification as Pega developer is preferred. Knowledge, Skills and Abilities (KSAs) Ability to communicate technical requirements to all levels of expertise., Advanced Proficient in establishing and maintaining good working relationships., Advanced Knowledge and understanding of software development life cycle (SDLC)., Advanced Proficient with integrating complex and/or existing systems., Advanced Knowledge of programming languages (e.g. JavaScript, C, Python, etc.)., Advanced Excellent communication skills both written and verbal., Advanced Knowledge of cloud technologies (e.g. AWS, Azure), Proficient Knowledge of database technologies (e.g. cloud, SQL, Oracle, Mongo DB, PostgreSQL, etc.), Advanced Fundamental knowledge of software engineers' best practices, agile methodologies, CI/CD pipelines, Advanced Knowledge of test-first practices including Test-Driven Development (TDD) for unit tests and Behavior-Driven Development (BDD) for automated acceptance tests, Advanced Strong experience with Deployment/Continuous Integration/ Continuous Testing/ Continuous Delivery processes and tools and have expertise in CI/CD tools and framework, Advanced Knowledge of Code quality and promotion practices, Advanced Thanks & Regards, Sekhar Pillala Team Lead - Talent Acquisition KMM Technologies, Inc. CMMI Level 2 | ISO 9001 | ISO 20000 | ISO 27000 Certified WOSB, SBA 8(A), MDOT MBE & NMSDC MBE Contract Vehicles: 8(a) STARS III & Schedule 70 Tel: ************ | Fax: ************** E-MAIL: ********************************** Linked In: *********************************************** ***********************
    $92k-120k yearly est. 7d ago
  • Naval Navigation Systems Engineer/Technician

    Rite-Solutions, Inc. 4.2company rating

    Senior Systems Engineer Job At Rite-Solutions

    Rite-Solutions is currently seeking skilled and motivated candidates for a Naval Navigation Systems Engineer/Technician position located on-site at the customer location in Naval Undersea Warfare Center, Newport, RI. Job Description: Tasking will include all phases of troubleshooting, systems integration and testing to include research, assessment, design, implementation, integration, and fleet support. The candidate should be able to demonstrate good teamwork and willingness to learn and accept new tasks / challenges. This position requires the use of problem-solving skills along with a strong understanding of navigation and submarine combat system technology to deliver business value to our customer. Duties and Responsibilities: * Conduct research, test and evaluation of USN submarine navigation systems, particularly the Voyage Management System (VMS) and Navy Electronic Chart Display and Information System (ECDIS-N) * Develop ECDIS CONOPS and performance specifications * Develop and improve ECDIS-centric and interface test plans * Provide for technical expertise for submarines focusing on system integration, laboratory support, installation planning * Provide technical support and SME for Software Functionality, Developmental Testing (DT), at-sea Operational Testing (OT), and Follow-on Testing and Evaluation (FOT&E). * Provide Fleet support, including on-site technical support aboard platforms and working with crews to gather feedback * Ability to design and implement within a team environment with minimal direction * Collaborate with a multidisciplinary team of navigation, combat systems, EW, weapons, radar engineers/technicians and subject matter experts * Communicate regularly with Fleet user, customers and project team lead to ensure effective work partnerships Requirements / Skills: * Candidate with significant, 4+ years demonstrated experience operating Electronics and Navigation systems (especially Navy and Submarine specific equipment) will be strongly considered. * Experience with DoD Navigation Systems like VMS and ECDIS-N * A working knowledge of MS Office software. * Must be able to work in a team environment. * Position requires U.S. Citizenship and the ability to obtain a security clearance with the US government. An active security clearance is strongly preferred. Who are we? Rite-Solutions, Inc. is a growing and innovative CMMI Level III software and systems engineering company. We value the experience of the employee, their wellbeing, and their experience on a personal and professional level as part of the key fabric in building and maintaining an innovative and culture rich experience for the employee and their family. This commitment to our company and our employees has been recognized as we were awarded: "Great Place to Work" Certification in 2022, 2023 and 2024; and Hire VETs Medallion Award in 2021, 2022, 2023, and 2024! All positions require a pre-employment background check. As an EOE/AA employer, Rite-Solutions will not discriminate in its employment practices due to an applicant's race, color, religion, sex, sexual orientation, gender identity, national origin, and veteran or disability status. Public Information
    $106k-137k yearly est. 22d ago

Learn More About Rite-Solutions Jobs