Principal Engineer Jobs in Artesia, CA

- 4,357 Jobs
All
Principal Engineer
Senior Engineer
Senior Mechanical Engineer
Senior Design Engineer
Senior Electronic Engineer
Test Design Engineer
Principal Electrical Engineer
  • Senior Engineer - Utilities

    Lonza

    Principal Engineer Job 35 miles from Artesia

    Today, Lonza is a global leader in life sciences operating across five continents. While we work in science, there's no magic formula to how we do it. Our greatest scientific solution is talented people working together, devising ideas that help businesses to help people. In exchange, we let our people own their careers. Their ideas, big and small, genuinely improve the world. And that's the kind of work we want to be part of. Take on an exciting opportunity with Lonza, a global leader in life sciences, and contribute to our outstanding team as a Senior Engineer in Utilities. This role is crafted to support our ambitious growth and ongoing success at our Vacaville, CA site. Join us in driving world-class technical solutions and ensuring flawless operations in plant utilities. Key responsibilities: Identify, analyze, and design improvements for facility, utility, process, and other utilities equipment. Provide technical support for all site utility systems, including water pretreatment, plant and process drains, water for injection, and clean steam systems. Ensure uninterrupted quality supply of pharmaceuticals through technical support and compliance. Develop and maintain engineering documentation such as P&IDs, design drawings, and specifications. Support project documentation development and review, including schedules, budgets, URS, PEP, RFQs, and Contractor Bid Packages. Drive and manage planning and design phases, including project initiation and detailed design. Conduct daily process monitoring and analysis, and support discrepancy investigations. Coordinate construction phases, managing contractors and ensuring successful project completion. Resolve emergent issues on a 24/7 basis, including weekends and holidays if necessary. Collaborate with Global Engineering on major projects. Continuously improve technical infrastructure, standards, practices, and procedures. Lead identification and implementation of process performance improvements. Provide technical mentorship to utility operations technicians. Provide SME support during plant audits. Provide guidance for 'like for like' or similar parts of obsolete parts and guidance to maintenance during critical repairs. Key requirements: BS Engineering degree from an accredited university. Electrical, mechanical, or chemical engineering or equivalent degree is preferred. Strong understanding and demonstrated ability with engineering principles and techniques. Knowledge of FDA and EMA regulations, cGMPs, building codes, and related standards. Proficiency in ISA standards and practices for instrumentation. Knowledge of calibration theories and techniques. Understanding of project lifecycle including initiation, design, construction, and commissioning/validation. Effective communication and interpersonal skills with technical, operations, maintenance, quality, and senior management personnel. We recognize that attracting, developing and retaining the best talent is key to our strategy and success as a company. As a result, we aim for flexibility in structuring competitive compensation offers to ensure that we are able to attract the best candidates. As required by law in this state, the quoted salary range for this position is $129,000-$219,000. We use broad salary ranges that reflect the competitive market for similar jobs, provide sufficient opportunity for growth as you gain experience and expand responsibilities; while also allowing for differentiation on performance based on the breadth of our ranges, most new hires will start at the company between the lower and the middle part of the applicable range. We tailor our offers within the range based on job-related factors, including organizational needs, internal equity, market data, geographic area and the selected candidate's experience, education, industry knowledge, location, technical and/or communication skills and other factors that may prove relevant during the selection process. This range is only inclusive of base salary, and base pay is just one component of Lonza's total compensation package for employees. Full-time employees are eligible to receive a performance-related bonus, as well as a comprehensive benefits package including medical and dental coverage, 401k plan, life insurance, short-term and long-term disability insurance, an employee assistance program, paid time off (PTO), and more. Every day, Lonza's products and services have a positive impact on millions of people. For us, this is not only a great privilege, but also a great responsibility. How we achieve our business results is just as important as the achievements themselves. At Lonza, we respect and protect our people and our environment. Any success we achieve is no success at all if not achieved ethically. People come to Lonza for the challenge and creativity of solving complex problems and developing new ideas in life sciences. In return, we offer the satisfaction that comes with improving lives all around the world. The satisfaction that comes with making a meaningful difference. Lonza is an equal opportunity employer. All qualified applicants will receive consideration for employment without regard to race, religion, color, national origin, sex, sexual orientation, gender identity, age, status as a qualified individual with disability, protected veteran status, or any other characteristic protected by law.
    $129k-219k yearly 4d ago
  • Senior Mechanical Engineer

    Delivered Talent Group

    Principal Engineer Job 13 miles from Artesia

    We are seeking an experienced Senior Mechanical Engineer to take a leading role in designing and engineering HVAC, piping, and hydronic systems for projects of moderate to large scale. This role involves applying advanced engineering principles to ensure the delivery of safe, reliable, and energy-efficient solutions. The ideal candidate will be skilled in developing designs, reviewing project specifications, and collaborating with construction teams to optimize system performance. Key Responsibilities: Analyze project requirements, review RFP documents, and assess major system components to ensure compliance with specifications and industry standards. Develop technical drawings, specifications, control sequences, and system documentation for complex projects. Evaluate existing designs, propose value-engineered solutions, and recommend modifications for improved efficiency and cost-effectiveness. Collaborate with preconstruction teams to develop conceptual designs, support estimating efforts, and participate in client interviews. Work closely with construction teams to address design feasibility, sequencing, and field conditions. Ensure that all deliverables, including drawings and reports, meet scope, budget, schedule, and quality standards. Provide guidance and mentorship to junior engineers, designers, and drafters, overseeing project workflows. Conduct peer reviews of engineered systems and offer insights to improve design accuracy. Represent the company professionally in interactions with clients, architects, vendors, and contractors. Qualifications: 8+ years of experience in HVAC, piping, and plumbing system design. Bachelor's degree in Mechanical Engineering required. Strong understanding of load calculations, energy modeling, and system analysis tools. Proficiency in Revit and AutoCAD for design and drafting. Strong problem-solving skills with the ability to analyze, conceptualize, and optimize mechanical systems. Effective communicator who thrives in team-oriented and cross-functional environments. Ability to work independently and collaboratively, balancing multiple priorities in a fast-paced setting. Experience leading teams and mentoring junior engineers. Professional Engineer (PE) license required. LEED AP certification preferred. Proficiency in Microsoft Office Suite (Word, Excel, Outlook).
    $104k-141k yearly est. 9d ago
  • Senior Power Electronics Engineer - Hardware

    Calnetix Technologies 4.2company rating

    Principal Engineer Job 22 miles from Artesia

    General Purpose of Job: Calnetix is a design and manufacturing company that is primarily focused on high-speed permanent magnet synchronous machines, power electronics, magnetic bearings, and associated controls. Candidate will join the engineering team, using our high-speed high power permanent magnet machines, power electronics, and magnetic bearing controllers to change the electric machine industry. The Senior Power Electronics Engineer will be responsible for all aspects of power converter design, test, debugging, and project execution. In this role, you will design innovative power converter products for industrial, aerospace, and military applications and lead the product development process from concept realization through introduction of product into high volume production. Essential Duties and Responsibilities: Evaluate customer/project requirements and selecting appropriate topologies for DC/AC and DC/DC applications Perform as a design engineer for power electronics products including all essential engineering analysis aspects from initial planning stages through study, design, and test. Design, develop and test advanced power electronics (up to hundreds of kW), such as converters and inverters, using medium to high power switching components and associated protection and gating circuitry. Selecting active semiconductor switching devices as well as passive components required for converter power circuits (capacitors, inductors, transformers, etc). Lead verification testing on new designs and products Analyze switching and transient behavior of devices to determine limits, proper gating, operation and implementation Design analysis including modeling, simulation, and control loops Optimize designs with an eye toward efficiency, density, and cost Conduct design reviews and follow NPI process Perform hands-on lab work building and debugging prototypes Perform electrical characterization, design validation, and qualification Introduce the product into production Provide technical support for production and field product issues Collaborate in cross-functional teams Required Skills, Experience, Education, and Abilities: BSc or MSc in Electrical Engineering with focus on power electronics and having +5 years of industry experience. PhD is plus. Strong understanding of power inverters operation and design Good understanding of 3-phase power systems Strong skillset of debugging power electronics circuits Experience with space vector, sinusoidal, and/or discontinuous PWM schemes. Strong knowledge of digital, analog and power electronic circuits Experience with SiC and GaN devices-based power converter design Strong circuit simulation experience (Matlab Simulink/PLECS/ LTSpice) Strong interpersonal, communication, presentation and technical writing skills Self-motivated, detail oriented, strong team player Strong problem-solving skills and the ability to think creatively Ability to drive projects to completion Ability to work in a team environment and on several projects simultaneously Ability to prepare test reports, conclude the outcome of test results, provide the next steps in test plan, and present it to management level Advanced computer skills with emphasis on MS Office products Desired Skills and Experience Perform power electronic circuit design (create schematic symbols and layout footprints, design schematic and layout, and create BOM) in Altium Magnetics design and optimization High frequency magnetics design knowledge Ability to drive, understand and adhere to product roadmaps, define new platforms, and extend products for power inverters (DC/AC) Create high density PCB layout with noise and EMI mitigation Intangibles Sought: Detail oriented with excellent time management skills. Strong blend of analytical, decision-making and creative problem-solving skills. Team player with a willingness to learn, teach and help. Strong verbal and written communication skills. Excellent problem solving and troubleshooting skills. Physical Demands / Work Environment: 10% travel may be required. Calnetix offers its employees competitive salaries and bonus, commensurate with qualifications and experience, as well as comprehensive benefits including: 401(k) Program Medical, Dental, Vision and Life Insurance Vacation Paid Holidays Office closure for the week between Christmas and New Year Holiday Health Care Flexible Spending Account Plan Salary Range: $140,000-$190,000 DOE + Performance Bonus The above job description is not intended to be an all-inclusive list of duties and standards of the position. Incumbents will follow any other instructions, and perform any other related duties, as assigned, by their supervisor. Management reserves the right to change, rescind, add or delete the duties and responsibilities of positions within this job classification at any time. Calnetix is an equal opportunity employer and prohibits discrimination based on race, color, religion, national origin, gender, sexual orientation, gender identity, age, physical or mental disability, or veteran status. This policy applies to recruiting, hiring, transfers, promotions, terminations, compensation and benefits and also states that retaliation against any employee who files a complaint regarding possible violations of this policy will not be tolerated. Calnetix will not sponsor for immigration, including for H-1B, TN and other non-immigrant Visas, for this role.
    $140k-190k yearly 4d ago
  • Senior Mechanical Engineer

    Insight Global

    Principal Engineer Job 22 miles from Artesia

    Required Skills & Experience • Bachelor's Degree in Mechanical Engineering or related field • 5+ years of Mechanical Engineering experience o Preferred experience working within Research + Development • Proficiency in CAD software, specifically Solidworks modelling + updating drawings • Experience owning Test Method Development • Design Verification + Validation experience Nice to Have Skills & Experience • Medical Device industry experience • Experience working within FDA Quality System Regulations and ISO 13485 - • Experience supporting acquisition integration projects Job Description A client in the medical device industry is seeking a Sr. Mechanical Engineer to join their Research + Development team in support of a major integration project resulting from an acquisition. The ideal resource will have a strong mechanical engineering background with specific experience working with Solidworks CAD software, developing test methodologies, and running the design verification process. Day to day activities will include developing test methodology as the team moves into the design verification testing portion of their integration project and involves interfacing with Technicians and DQA Engineers. The role will be a 6-month contract with possible extensions until integration work is concluded and hybrid 3 days per week in Valencia, CA requiring the resource to be onsite in their machine shop with the R+D team. Compensation : $65/hr to $70/hr. Exact compensation may vary based on several factors, including skills, experience, and education. Benefit packages for this role will start on the 31st day of employment and include medical, dental, and vision insurance, as well as HSA, FSA, and DCFSA account options, and 401k retirement account access with employer matching. Employees in this role are also entitled to paid sick leave and/or other paid time off as provided by applicable law.
    $65 hourly 2d ago
  • Senior/Principal Electrical Engineer and Embedded Engineer

    Samson Rose 4.5company rating

    Principal Engineer Job 22 miles from Artesia

    Samson Rose has been exclusively engaged by a hidden company in the defense sector to find a Senior/Principal Electrical Engineer and a Senior/Principal Embedded Engineer to join their heavy-hitting team. They are known for delivering advanced unmanned systems capable of deployment in highly demanding environments, drawing on the expertise of professionals from organizations like Anduril, SpaceX, Tesla, Relativity, Lockheed Skunkworks, and more. A bit about the company: They are the hidden company that intelligence agencies, special ops, and black ops engage with when all conventional engineering companies fail to deliver cutting-edge technologies. They specialize in advanced capabilities, with their products having a revenue potential of $100M - $1.5B within 5-7 years of launch. Large defense corporations usually neglect these projects, and they are usually unattainable by small businesses. The founder is very well-known in the space. He has a previous successful exit and a Rolodex of who's who for projects that don't require the typical approvals. The team members have all achieved something great prior to joining, including creating the first of its kind tech at NASA, designing the first Hydrool board, developing next-generation propulsion, or building the best-in-class vertical take-off craft. They are funded by top-tier VC firms, they are already producing healthy revenue and are growing rapidly. They currently have more incoming projects than they can handle. As a Senior/Principal Electrical Engineer, you'll design, test, and troubleshoot PCBs and electrical systems for advanced unmanned platforms. Working closely with mechanical, software, and systems teams, you'll deliver innovative, field-ready products straight to customers for testing and battlefield deployment. A strong background in Altium-based PCB design plus hands-on prototyping and troubleshooting experience is crucial. The person we are looking for needs: Bachelor's degree in Electrical Engineering or any related field. Proven expertise in PCB and circuit design for complex applications. Hands-on experience in unmanned systems or similar high-stakes environments is a strong plus. Strong troubleshooting abilities, including reworking PCBs, diagnosing circuit failures, and implementing effective solutions. Experience with cross-functional teams (mechanical, software, systems) in fast-paced product development cycles. Excellent communication and problem-solving skills, plus an ability to adapt quickly to new challenges. As a Senior/Principal Embedded Engineer, you'll design, implement, and maintain the embedded software core of custom robotics systems. Your primary focus will be writing and optimizing algorithms to operate hardware effectively, and integrating these control systems with a lightweight Linux companion computer. You'll work closely with cross-functional teams to deliver mission-critical products straight to customers for testing and battlefield deployment. The person we are looking for needs: Demonstrated knowledge and commercial experience in C and/or C++. Development experience with Linux or UNIX systems. Demonstrated competence and experience in developing and shipping products from the group up. Experience interacting with customers and being heavily involved in the architectural planning process. Experience directly contributing to development, testing/evaluation, and deployment. Deep knowledge in two or more business-critical software topics. Cloud infrastructure and development. ML model training and evaluation. Unmanned system software. Embedded programming on popular microcontroller platforms. Linux kernel and driver development for greenfield hardware projects. If this role is of interest to you, please apply for it with your current resume. We will reach out to schedule an initial call.
    $125k-158k yearly est. 13d ago
  • Senior Physical Design Engineer

    Xcelerium

    Principal Engineer Job 21 miles from Artesia

    Senior VLSI Physical Design Engineer Xceleirum is a fabless semiconductor company developing advanced edge processors that bring AI processing to high-bandwidth sensors and wireless devices, unlocking hidden insights from every RF signal. Working at Xcelerium will provide an opportunity to work on a complex development from the ground up and become familiar with cutting edge technologies such as the wireless signal processing, computer vision, sensor fusion, machine learning and inner workings of frameworks such as TensorFlow, PyTorch, OpenCL and OpenGL, etc. In addition, the application domains will be 5G, UAVs/Drone, Robots, and Autonomous Vehicles which provide enormous scope for growth and making an impact. About the Job We are seeking a highly skilled Senior SoC/ASIC Physical Design Engineer to lead and drive the physical design activities to successful closure by collaborating closely with RTL and other cross-functional engineering teams. You will be responsible for developing, refining and implementing cutting-edge flows and methodologies that optimize design performance, power efficiency, and area (PPA). Your expertise will directly contribute to achieving world-class time-to-closure and tapeout with optimal team size and resources. Responsibilities Develop and Implement PD Flow: Establish a modern physical design (PD) flow utilizing the latest EDA tool fusion and machine learning (ML) techniques to maximize PPA efficiency, optimize resource allocation, and achieve industry-leading time-to-closure and tapeout. End-to-End Physical Design Execution: Perform partition synthesis and physical implementation, including synthesis, floorplanning, power/ground grid generation, place & route, timing, noise, physical verification, electromigration, voltage drop, and signoff checks. Methodology and Automation: Create and refine physical design methodologies and automation scripts to streamline implementation and signoff processes. Cross-Functional Collaboration: Work closely with RTL, DFT, and ASIC design teams to define architectural feasibility, establish timing, power, and area targets, and explore design trade-offs. Drive Design Closure: Utilize an objective, metrics-driven approach to resolve design, timing, and flow issues and ensure predictability in achieving project milestones. Signoff Ownership: Lead signoff closure activities, including static timing analysis (STA), noise analysis, logic equivalency, physical verification, and power integrity (EM/IR). Basic Qualifications Education: Bachelor's degree in Electrical Engineering, Computer Engineering, or Computer Science (Master's preferred). Experience: 10+ years of experience in ASIC/SoC physical design and flow development. Technical Proficiency: Expertise in RTL-to-GDSII physical design and signoff flows. Strong experience with Synopsys EDA tools, understanding tool capabilities and underlying algorithms. Proficient in physical design methodologies: synthesis, place & route, STA, formal verification, CDC, and power analysis. Knowledge of FinFET and deep sub-micron CMOS technologies, including power dissipation, leakage, and dynamic behavior. Familiarity with DFT, Scan, MBIST, and LBIST methodologies and their impact on physical design. Scripting and Automation: Proficient in scripting languages (Python, Tcl, Perl, bash/csh) and automation using Makefiles. Analytical Skills: Skilled in extraction and analysis of design parameters, QOR metrics, and implementing voltage scaling (SVS, DVFS) and SRAM split rail architectures. Team Collaboration: Proven ability to work collaboratively in dynamic environments, lead design closure activities, and drive execution with a proactive, solution-oriented mindset. Compensation And Benefits We provide competitive compensation package
    $106k-146k yearly est. 27d ago
  • Senior Mechanical Engineer

    Recruyt

    Principal Engineer Job 20 miles from Artesia

    As a Senior Mechanical Engineer, you will be directly responsible for designing the hydraulic, fluid circulation, and actuation systems at the core of our next-generation drilling rigs. From concept to field deployment, you'll collaborate across teams to deliver robust, safe, and efficient systems that withstand the toughest conditions. Note: You don't need mining or drilling experience to excel in this role. RESPONSIBILITIES Design and prototype mechanical systems and components for autonomous drilling rigs, including hydraulic systems, drive systems, and structural elements Integrate mechanical designs with electrical, control, and software systems Perform simulations, stress analysis, and finite element analysis to ensure the durability and performance of components in harsh operational conditions Outline and perform testing and validation of new designs at a subsystem and integration level, iteratively making necessary modifications to achieve the desired objective Optimize mechanical systems for performance, weight, cost, and manufacturability Conduct field visits to understand operational challenges and implement improvements to enhance performance and reliability in real-world conditions Produce documentation outlining design choices, test results, and future improvements QUALIFICATIONS Experience in mechanical design, preferably in heavy machinery, drilling equipment, or autonomous systems Proficiency in CAD software (NX, SolidWorks, or similar) Experience with FEA tools for stress analysis and simulation (e.g., ANSYS, Abaqus) Strong understanding of hydraulic systems, drive mechanisms, and structural design Knowledge of manufacturing processes, materials, and tolerances Ability to work in a fast-paced and collaborative environment to rapidly prototype and manufacture new products Experience with field testing and working in rugged environments IN THIS ROLE, YOU WILL Own a product vertical with the opportunity to build a team around yourself over time Work directly with our internal and external customers to drive our roadmap Get to build alongside the best engineers and mining operators in the world Be given autonomy and virtually unlimited room to grow Travel all over the world THIS MIGHT BE A GOOD FIT IF YOU Bias to action, take pride in your work and care more about getting to the right solution than being right Have led critical projects or initiatives Have extensive experience shipping modern, full-stack hardware Are product-minded and user-driven Are excited to work in a fast-paced environment with high stakes and quick iteration cycles Are a previous or future founder Can work from our Los Angeles headquarters (El Segundo)
    $105k-142k yearly est. 7d ago
  • Senior Mechanical Engineer

    Moxee

    Principal Engineer Job 20 miles from Artesia

    As a Senior Mechanical Engineer with our client you will be directly responsible for designing the hydraulic, fluid circulation, and actuation systems at the core of our next-generation drilling rigs. From concept to field deployment, you'll collaborate across teams to deliver robust, safe, and efficient systems that withstand the toughest conditions. You will be joining at the pre-seed level of the company, and have a critical role in developing the future of the company and products. If you're looking to have the biggest impact in your professional career - please reach out. If you're looking for a job where you make the most amount of money and where you work the least for that money, please don't apply. Note: You don't need mining or drilling experience to excel in this role. What You'll Do: •Design and prototype mechanical systems and components for autonomous drilling rigs, including hydraulic systems, drive systems, and structural elements •Integrate mechanical designs with electrical, control, and software systems •Perform simulations, stress analysis, and finite element analysis to ensure the durability and performance of components in harsh operational conditions •Outline and perform testing and validation of new designs at a subsystem and integration level, iteratively making necessary modifications to achieve the desired objective •Optimize mechanical systems for performance, weight, cost, and manufacturability •Conduct field visits to understand operational challenges and implement improvements to enhance performance and reliability in real-world conditions •Produce documentation outlining design choices, test results, and future improvements Qualifications •Experience in mechanical design, preferably in heavy machinery, drilling equipment, or autonomous systems Who You Are: Experience in mechanical design, preferably in heavy machinery, drilling equipment, or autonomous systems Proficiency in CAD software (NX, SolidWorks, or similar) Experience with FEA tools for stress analysis and simulation (e.g., ANSYS, Abaqus) Strong understanding of hydraulic systems, drive mechanisms, and structural design Knowledge of manufacturing processes, materials, and tolerances Ability to work in a fast-paced and collaborative environment to rapidly prototype and manufacture new products Experience with field testing and working in rugged environments The role will pay between 150k - 180k and you will receive generous equity. You'll have total freedom to succeed, all meals are covered at HQ and healthcare is covered 100% as well. You must be willing to work extended hours and weekends as needed.
    $105k-142k yearly est. 6d ago
  • Senior Silicon Photonics Design Engineer

    APIC Corporation 3.5company rating

    Principal Engineer Job 21 miles from Artesia

    : APIC Corporation, headquartered in Culver City, California, is a pioneer in photonics technology development with a 25-year history. The company specializes in chip-scale optical links and networks for chip-to-chip data communications. APIC's cutting-edge proprietary technologies have been developed over two decades, supported by $150 million in government contracts, including sponsorship from DARPA and the US Navy. Currently, APIC is transitioning from research and development to prototyping and volume production of photonically enhanced edge and embedded computers and servers, with the ultimate goal of building high-performance computers and supercomputers. Biographical information on CEO and CTO appear at the bottom of this announcement. Job Description: APIC Corporation is seeking highly skilled and experienced engineers or scientists who are U.S. citizens or current green card holders. This senior role involves developing novel silicon photonics components and photonic integrated circuits (PICs), and leading a team of junior, yet highly qualified, engineers and scientists. The PIC development team is crucial for building the next generation of embedded processors, data centers, high-performance computers, and supercomputers. Responsibilities span from concept design, modeling, and simulation to layout, fabrication, packaging, and product testing. This role requires both supervisory and hands-on involvement as needed. Responsibilities: Lead, supervise, and manage a small team to: · Design, model, and simulate passive and active silicon-photonic devices, circuits, and entire wafers using state-of-the-art electronic design automation (EDA) tools. · Design, model, and optimize silicon photonic fabrication processes. · Develop test plans and execute device and PIC testing and characterization measurements. · Coordinate packaging and chip interface requirements and light source specifications with other APIC teams. Report team activities and progress to APIC management and customers/stakeholders. Interact and coordinate directly with silicon photonic foundry engineers. Manage team resources and schedules. Mentor junior team members. Qualifications: · U.S. citizen or current green card holder. · Ph.D. in Electrical Engineering, Physics, or a related field with a focus on Integrated Photonics, and at least 5 years of direct experience in designing silicon photonics devices. · Proficiency in Lumerical simulation and layout design. · Practical experience with the tape-out process at a silicon photonics foundry, including familiarity with its PDK and foundry processes. · Expertise in passive optical components, such as edge grating couplers, directional couplers, MMIs, MRR/MDR-based modulators/filters, and Ge photodetectors. · Experience with photonic foundry processes is essential. · Knowledge of laser design is a plus. · Hands-on experience with photonic testing equipment. · Excellent analytical and problem-solving skills. · Strong communication skills and a proven ability to work both independently and in leadership positions.
    $117k-152k yearly est. 3d ago
  • Senior Mechanical Engineer

    Sangha Partners 3.7company rating

    Principal Engineer Job 20 miles from Artesia

    Are you a top 1% mechanical engineer looking to build first-of-their-kind machines that will reshape an industry? A stealth startup in Southern California is tackling critical challenges in mining and industrial automation. We're seeking an elite mechanical engineer who thrives in high-autonomy environments, operates with urgency, and is driven to build groundbreaking technology. As the first mechanical engineer in this domain, you'll own your product vertical and have the opportunity to build a team around you. This role is for someone who has designed, built, and tested complex industrial-scale machinery at world-class engineering companies or startups. What You'll Do Design, develop, and test highly complex mechanical systems for industrial applications Drive the full product lifecycle from concept to production Work hands-on with hydraulics, structural design, and drive mechanisms Utilize CAD (NX, SolidWorks) and FEA tools (ANSYS, Abaqus) to optimize performance Collaborate closely with a team of top-tier engineers in a fast-paced, execution-driven environment What You Bring 8+ years of experience designing and testing industrial-scale mechanical systems Proven ability to lead mechanical design in a startup or small engineering team Expertise in hydraulic systems, drive mechanisms, and structural design Deep understanding of manufacturing processes and material selection Engineering degree from a top-tier university (MIT, Caltech, Stanford, Berkeley, Michigan, etc.) A track record of solving critical engineering problems with speed and precision Why Join? Work on cutting-edge industrial automation technology that has never been built before Collaborate with a team of world-class engineers in Southern California Uncapped growth potential - shape the future of the company and build your own team Competitive compensation, 100% healthcare coverage, and all meals provided in-office Housing assistance near the office and potential for global travel Employee Benefits 100% healthcare coverage (medical, dental, vision) All meals covered (breakfast, lunch, dinner) Can help provide housing close to the office Generous early stage equity If you're obsessed with solving hard engineering problems and want to work alongside the best, apply now. We're looking for A+ players who elevate the entire team.
    $111k-144k yearly est. 13d ago
  • Senior Design Engineer

    Biotalent

    Principal Engineer Job 22 miles from Artesia

    About the job Our Client is seeking a Senior Design Engineer to join their dynamic team and contribute to their mission of advancing women's healthcare. Our Client has strategically invested in both organic and inorganic product portfolio development, aiming to be a global leader in the women's health industry. To support this growth, a strong and experienced R&D team is essential for creating, launching, and maintaining both new and existing products. This role is crucial in designing and developing innovative product solutions that enhance women's healthcare. The position will provide design leadership for new product development projects, as well as all sustaining engineering activities. Successful candidates will be reliable, collaborative team players with excellent multitasking, communication, and time management skills. Duties and Responsibilities: Collaborate with marketing to translate customer requirements into actionable specifications. Lead the design of novel product concepts based on customer needs. Select appropriate materials for new designs based on functional requirements, including metals, polymers, polymer-metal blends, and manufacturing methods such as injection molding, stamping, extruding, machining, and 3D printing. Design and develop new products and associated assembly and manufacturing processes, from raw material processing to final device assembly. Provide technical leadership for all new product projects and sustaining R&D activities. Manage all technical stage/gate deliverables for new product development. Translate voice of customer needs into target product solutions, concepts, and new product proposals. Prototype and develop proof-of-concept designs, disease models, and test methods for simulated environments, and develop new product designs based on defined user needs. Execute a long-term strategy for evaluating new designs, materials, and processing methods for current and next-generation products. Collaborate with IP counsel to identify and implement product patent strategies. Generate and execute test methods to characterize designs and establish root causes of design, manufacturing, or material defects. Create and maintain product CAD, including assemblies, components, and detailed drawings. Observe clinical cases and participate in interviews with clinical experts to inform designs. Assist in managing and directing external research and development projects for broader insights. Lead and participate in design reviews, contributing to the continuous improvement of processes. Identify and support component and process vendors, enhancing supply chain effectiveness. Guide engineering and laboratory teams in prototyping, manufacturing, and testing, fostering a collaborative and growth-oriented environment. Assist in managing and directing outside research and development projects for broader insights. Required Knowledge, Skills and Abilities: 6+ years of product design and development experience, including early-stage concept and technical feasibility in biomedical engineering and/or the medical device industry. In-depth knowledge and experience in disposable medical device design and manufacturing. Preference for experience with electromechanical design and regulations (60601). Proven track record of successful new product designs reaching commercialization in a regulated environment. Strong medical device concept development, prototyping, and testing experience. Strong medical device design and manufacturing knowledge and experience. Working knowledge of electrosurgical design and regulatory requirements. Proficiency in Solidworks. Effective verbal, presentation, and written communication skills. Medical device product launch experience. Ability to travel up to 15-20%.
    $108k-148k yearly est. 15d ago
  • Senior Power Electronics Engineer

    Castelion

    Principal Engineer Job 16 miles from Artesia

    Castelion is bringing a new approach to defense development and production: one that focuses on short, iterative design cycles, rapid testing in development, and modern commercial manufacturing strategies for production at scale. We're designing, building, and testing next generation long range strike weapons systems to give America and its Allies a definitive edge and deter future conflicts. Senior Power Electronics Engineer (Role can be based in Allen, TX OR Torrance, CA) Castelion is seeking a high performing Senior Power Electronics engineer to lead the architecture, design, and development of power systems across the missile. In this role you will be responsible for both high level architecture and detailed design, delivering power from a few W to many kW across Castelion missile systems; including high power radar seeker systems, BLDC motors, digital computers, radios, batteries, and other vehicle systems. The role will coordinate closely with Castelion's engineering leadership and architect designs for future Castelion vehicles and ground systems. A successful candidate will have significant experience delivering highly manufacturable power electronics hardware with extreme reliability for mission-critical applications in a fast-paced environment. Responsibilities Missile-Level Development. Take ownership of the entire power architecture, starting at the missile level. Research and design to external interface definitions, internal subsystem power predictions, and anticipated customer requirements. Work on electrical power system and sub-system level design trades and develop requirements. Define and perform electrical power system verification testing and analysis. Take ownership of key data products (power tree, sequencing diagrams, fault analyses, etc) that keep the broader team in-sync regarding power. Become the subject matter expert at Castelion for all things power. Seeker-Level Development. The seeker is anticipated to be the most demanding subsystem power design. Work with the seeker team to develop a detailed design that is compliant, affordable, feasible, and manufacturable at scale. Provide engineering expertise in design, analysis, and testing of DC-DC power converters for harsh environments operating at a few kW. Battery Development. Research candidate battery chemistries and present the trades to the broader engineering team. Drive Castelion battery programs through all phases of development, from R&D to prototype, mass production, and in-field performance. Own cell and pack level technical design, optimizing for safety, performance, cost, and manufacturability. Lead Team in Fast-Paced Environment. Lead design reviews and system architecture reviews for power relates systems. Demonstrate daily/weekly cadence of development progress and prototype design cycles every four weeks. Complete design and requirement reviews ahead of build and capture value from high cadence testing and prototype builds. Implement and Manage Castelion Avionics Standards including modeling, PCBA rules, derating, and naming conventions. Responsible for building out quality/reliability standards including EEE part sourcing and acceptance/qualification testing of your designs. Basic Qualifications Bachelor's degree in electrical engineering 5+ years of experience in power converter development Previous experience with DC-DC power converter development Experience in modeling, simulation, and testing of power electronics Experience with high power DC applications (2kW) in small form-factors Experience in modeling, simulation, and testing of power electronics. Preferred Skills and Experience Missile Experience. Demonstrated ability to design, order, and integrate flight power systems in missiles, from initial power budgets to scaled production. Strong grasp of DC-DC topologies, with ability to select optimal architectures and components. Experience or clear understanding of how to design for harsh missile environments. Familiarity with the relevant MIL specs, how to design to meet them, and how to test against them. Design for Cost. Demonstrated ability to include cost in both architecture and design decisions. Cost includes the total cost of having a component in a system (part cost, assembly cost, failure rates, reworkability, etc.). Ability to work with cross-disciplinary team to drive cost out of system. Full Life-Cycle Development. Ability to creatively solve problems and weigh against multi-disciplinary requirements together while assessing risk and making design and development decisions. Understanding of electrical schematics and electronics manufacturing to analyze and improve test coverage. Hands-on experience with sizing, installing and troubleshooting various power components. Leadership Qualities Bias to Action and Creative Problem Solving. Desire and experience questioning assumptions in ways that lead to break through ideas that are ultimately implemented. Successfully bring in applicable processes/concepts/materials from other industries to achieve efficiency gains. Ability to personally resolve minor issues in development without requiring significant support. High Commitment, High Initiative. A successful candidate will have a genuine passion for Castelion's mission and consistently look for ways to contribute to the company's technical goals and prevent hardware blockers. Ability to work in a fast paced, autonomously driven, and demanding atmosphere. Strong sense of accountability and integrity. Clear Communicator. Proactively communicates blockers. Trusted in previous roles to be voice of company with regulators, suppliers, gate keepers and customers. Capable of tactfully managing relationships with stakeholders to achieve company-desired outcomes without compromising relationships. Emails, IMs and verbal interactions are logical, drive clarity, and detailed enough to eliminate ambiguity. ITAR Requirements: To conform to U.S. Government export regulations, applicant must be a (i) U.S. citizen or national, (ii) U.S. lawful, permanent resident (aka green card holder), (iii) Refugee under 8 U.S.C. § 1157, or (iv) Asylee under 8 U.S.C. § 1158, or be eligible to obtain the required authorizations from the U.S. Department of State. Employment with Castelion is governed on the basis of competence and qualifications and will not be influenced in any manner by race, color, religion, gender, national origin/ethnicity, veteran status, disability status, age, sexual orientation, gender identity, marital status, mental or physical disability or any other legally protected status.
    $109k-148k yearly est. 2d ago
  • Electronic Test Design Engineer

    Marvin Test Solutions 4.1company rating

    Principal Engineer Job 21 miles from Artesia

    Are you looking to work in an exciting and fast-paced environment? Does designing and integrating test systems and Test Program Sets (TPS) sound like something that would interest you? We deal with some of the biggest names in commercial and military electronic systems and sub-systems, and we're looking for some bright engineers to join our team. Marvin Test Solutions We are a premier aerospace Test & Measurement company located in Irvine, California. Innovation has been at the center of MTS since 1988. We're dedicated to advancing our customers' success by designing and delivering innovative test solutions that combine quality, performance, and ease of use. We're growing and searching for qualified test engineers for our new programs. Your role as ETD Engineer Design and integration of hardware and software are just a part of your daily duties. You'll also be working closely with members of your team to test, assemble, and validate various test systems and programs. Some of the other duties involved include: Design, configure, and manufacture customized ATE systems and Test Program Sets development Perform circuit analysis of complex systems, sub-systems, LRUs and, SRUs to identify the necessary system resources for test system development Establish all HW and SW requirements for test systems, ITAs, cables, and harnesses using COTS items when possible Select and procure materials and parts Generate required documentation, test procedures, schematics, wiring diagrams, specifications, mechanical drawings, and work orders Participate in PDRs, CDRs, and Acceptance Test Procedures with project teams and customers Perform hands-on test code generation, HW/SW debug, integration, fault isolation, and diagnostics What qualifies you? We're always looking for curious, hard-working candidates that are willing to learn tricks of the trade. Since the role is highly technical, certain requirements are a must for us to consider you for the role: BSEE or equivalent Thorough understanding of analog & digital electronic circuits 3 years' experience designing circuits using schematic capture packages such as Altium, Orcad, Cadence Proficient with standard test instrumentation and equipment such as DMMs, Oscilloscopes, Power Supplies, Function Generators, Data Acquisition Systems, DIO Your potential contribution Possessing excellent analytical and troubleshooting skills will serve you in this role. An ability to prepare and present technical data and engineering reports to development team members and customers is also a must. In addition to that, experience or knowledge of the following is a big plus: Designing analog and digital circuits Developing TPSs for microprocessor-based circuits, and MIL-STD-1553/MIL-STD-1760 products Windows-based test software development with design tools such as ATLAS, ATEasy, LabView, Visual Basic, Visual C++, LabWindows, etc. (3 years minimum) Proficient with Microsoft's suite of programs (Outlook, Excel, PowerPoint, Project and Word) Perks & Benefits We offer a comprehensive and competitive benefits package, with exceptional health care coverage options and premiums unmatched in the industry. Our commitment to a healthy work-life balance promotes peace of mind whether you're in the office or at home with your family. 100% coverage of top-notch medical, dental, and vision health premiums for you and your dependents by the company. Long term disability options Maternity/Paternity leave (eligibility determined by state) 401(K)- with matching plan Legal plan Paid Basic Life and AD&D Insurance Benefit Paid Long Term disability Voluntary insurances available including Critical Illness, Accident Insurance and additional Life and AD&D Minimum 2 weeks of paid vacation + paid holidays+ sick time. Starting Personal Time Off (PTO) is 25 days per year. Flexible spending accounts (FSA) Professional development training and options for tuition reimbursement Non-stop team fun activities including bagel Fridays, monthly birthday celebrations, quarterly lunches, and annual picnics. Corporate swag bag for new employees Join our Mission Dedication, Innovation, Recognition, and Courtesy are our core values at Marvin Test Solutions. We are an equal opportunity employer committed to a diverse workforce, and we consider this to be the foundation empowering both professional success and personal fulfillment. If this resonates with you, send your resume to *******************.
    $98k-131k yearly est. 28d ago
  • Senior FPGA Engineer

    Evona

    Principal Engineer Job 20 miles from Artesia

    $150,000 - $250,000 El Segundo, California Full-time: On Site Our client is an innovative start-up who specialise in developing advanced defense technologies. It focuses on next-generation long-range strike weapons systems, employing a unique approach that emphasizes rapid prototyping, iterative design cycles, and efficient, scalable production methods inspired by commercial manufacturing practices. Their mission is to provide cutting-edge solutions that give their clients and allies a strategic advantage, contributing to global security and conflict deterrence. The role: Senior FPGA Engineer (This is a 100% onsite role. It can be based in El Segundo, CA or Allen, TX) This role involves defining, implementing, and testing the code that drives advanced radar systems. You will work on embedded processors that combine FPGA fabric and CPU cores, developing FPGA and C/C++ code for command/control and data processing. Collaboration with cross-functional engineering teams will be key, focusing on both software and hardware aspects of radar systems. We are seeking innovative and driven engineers with a passion for tackling complex challenges and delivering impactful solutions. Responsibilities: System Synthesis: Translate system requirements into compute and code specifications. Lead trade studies for key computing components. Innovate to solve problems with scalable, cost-effective hardware. Algorithm Implementation: Efficiently implement radar signal processing algorithms while balancing sensor performance, compute resources, timeline, cost, and other factors. Oversee the algorithm development process from design to deployment in mission-critical applications. Command/Control Development: Design and implement code to control radar systems, manage telemetry, run diagnostics, and record high-speed data during tests. Collaboration: Work with multidisciplinary teams to design next-generation missile capabilities, including advanced guidance algorithms and image processing. Project Leadership: Lead major initiatives, ensuring alignment with objectives and occasionally traveling to test and customer sites. Basic Qualifications: Master's degree in computer science, computer engineering, electrical engineering, mathematics, or a related STEM field. 10+ years of experience in radar engineering (experience with seeker systems preferred). Practical experience with radar calibration, testing, and deployment, ideally in the missile domain. Expertise in FPGA coding and radar signal processing. Problem-solving skills rooted in first-principles thinking, with experience prototyping and validating design concepts. Additional Requirements Willingness to work extended hours or weekends as needed to meet milestones. Ability to travel up to 10%. Must meet ITAR eligibility requirements (U.S. citizen, green card holder, or equivalent). Compensation and Benefits Competitive salary with long-term stock incentives. Comprehensive health, vision, and dental coverage. Three weeks of paid time off annually.
    $150k-250k yearly 5d ago
  • Sr. Cabin Design Engineer

    Virgin Galactic

    Principal Engineer Job 17 miles from Artesia

    Virgin Galactic is seeking a Sr. Cabin Interiors Design Engineer eager to participate in innovative and unique aerospace vehicle design activities. The responsibilities will range from design reviews to the review of verification and validation plans. The primary tasks involve with supporting the on time release of the drawings and models as well as assuring the timely production of parts by supporting the design iterations. Primary Responsibilities: Support engineering efforts in the review of supplier designs to ensure fit, form and function while being within weight budgets. Support engineering efforts in the review and release of supplier provided drawings. Collaborate with internal stakeholders and supplier throughout design and production. Support development and review of validation and verification plans. Support production and operations teams to ensure readiness for flight. This includes involvement in fabrication, implementation, and MRB activities. Assist authoring various manuals/templates such as design standard and best practices, procedures, and processes. Coordinate with various engineering stakeholders during the design, production, integration, test, delivery, and operation of air/space vehicles. Provide design engineering support by answering questions and requests from internal or external customers. Prepare clear and concise reports that collect, analyze, and summarize information. Participate in the continuous improvement of design practices, procedures, and technologies. Mentor and participate in knowledge transfer/training of teammates. Required Skills & Experience Bachelor's degree or higher in Aeronautical, Astronautical, Aerospace, or Mechanical Engineering from an accredited institution. 8+ years' experience with a strong emphasis in design for aerospace vehicles. Knowledge of and experience with design of Cabin interior mechanical parts or similar, including Seats, Side Walls, Bulkhead, Composite parts, Stowage, Floors, etc. Experience with CATIA V5/6 or similar CAD software required. Experience with CATIA 3DX and model-based definitions are strongly preferred. Working knowledge of design using GD&T standards and tolerance analysis. Ability to develop technical solutions to complex problems that require regular ingenuity and creativity. Experience with Product Lifecycle Management tools (PLM) and configuration management. (Enovia or 3DEXPERIENCE a plus) Excellent decision-making skills with attention to details. Excellent verbal and written communication skills. Team player, highly dependable, strong work ethic, organized with excellent time management skills. Preferred Skills & Experience Experience Aircraft or spacecraft interiors is a plus. Experience with testing and part 25 is a plus. Experience with composite modeling and manufacturing is a plus. Experience with prototype, development, experimental, and/or innovative products. Hands-on experience with equipment assembly, fabrication, and installation. Comfortable working in a creative/fast-paced environment.
    $106k-146k yearly est. 14d ago
  • Senior Engineer

    Lumicity

    Principal Engineer Job 22 miles from Artesia

    📡 Job Title: Sr. Antenna & RF Engineering Lead 💼 Job Type: Full-time, On-site Seeking a technical leader in antenna and RF engineering to drive innovation in advanced GNSS and RF solutions. This role focuses on technical leadership, project guidance, and system-level integration, ensuring top-tier antenna performance and strategic product development. The primary function will be to maximize the value of current design resources while ensuring the delivery of high-quality, state-of-the-art antenna solutions. The ideal candidate is a self-starter, proactive, and organized, with a deep understanding of RF and antenna systems and a passion for leading teams. About the Company: A leader in RF technology, my client develops rugged, high-performance antennas for aerospace, defense, and other industries. They drive innovation while delivering tailored solutions to mission-critical applications. Key Responsibilities: Lead RF & antenna design, development, and system integration. Guide technical strategy, research, and innovation. Act as technical lead, reviewing designs, managing projects, and mentoring engineers. Oversee antenna architectures, including GNSS, beamforming arrays, and multi-band communication antennas. Ensure high-quality, state-of-the-art antenna solutions aligned with customer needs. Shape technology roadmaps in collaboration with leadership. Support cross-functional teams including product, sales, and operations. Required Qualifications: Bachelor's in Electrical Engineering or related field. 5-20 years in RF/antenna engineering. Experience in electrical, RF, and antenna engineering. Experience with RF/antenna system design, validation, and testing. Leadership experience or strong potential and interest in a leadership role. Understanding of RF & antenna design principles (GPS, GNSS, HFSS, Array, L-band, etc.). Exposure to system analysis, electronic warfare, and anti-jam applications. Self-starter, proactive, adaptable, open-minded, enthusiastic, passionate, and organized. *ITAR 🌟 If you're ready to lead cutting-edge RF & antenna innovation and shape the future of high-performance solutions, apply ASAP! 🌟 *ITAR: Must be U.S. persons within the meaning of ITAR. ITAR defines a US person as: any individual who is granted U.S. citizenship; or any individual who is granted U.S. permanent residence ("Green Card" holder); or any individual who is granted status as a "protected person" under 8 U.S.C 1324b(a)(3).
    $107k-149k yearly est. 2d ago
  • Senior Engineer

    Certerra (Formerly RMA Companies

    Principal Engineer Job 22 miles from Artesia

    RMA is a growing national provider of testing, inspection, and certification services that support innovation in new product development, quality assurance, for project delivery, and engineered solutions for asset management. We are passionate about contributing to the sustainable development of the communities we serve. We are a national firm with a global reach serving national and international clients from 30+ offices located in 10 states and are ranked on ENR's Top 500 list alongside the nation's top firms. With a 60-year history, RMA has a passion for high-end services, constant innovation, and investment in the development of world-class laboratory facilities, and interactive management technologies. Each member of our staff is committed to service, innovation, and the success of our clients. This position will be working out of the Sun Valley, CA office. POSITION OVERVIEW: RMA is seeking a full-time Office Manager/Sr. Engineer or a candidate with similar experience to manage and grow the office located in Sun Valley, California. The ideal candidate will have the demonstrated experience to further develop RMA's expertise in providing analyses, guidance, and solutions to contractors, municipalities, transportation agencies, utility providers, and healthcare clients in the areas of geological and environmental engineering, construction materials testing/inspection, and stormwater management services. Responsibilities include but are not limited to: Perform the Office Manager functions including overseeing Department and Project Managers, managing the revenue and cost for budgeted profitability, hiring and maintaining staff, AR & WIP management oversite, safety leader and required safety culture of the Office per the company requirements. Provide market analysis and clear strategic vision for the operation. Provide leadership, mentoring and direction to managers within the operation. Develop the office to provide the full complement of services that RMA Companies provides. Continuous evaluation of the group's strengths and weaknesses. Develop plans to overcome the weakness and promote the strengths of the organization. Actively work with other offices to develop synergies with common clients, work force, etc. Develop relationships with new potential Client's to maintain a steady backlog of work and increase the existing Client base. Develop and maintain existing and past clients for future work. Provide timely responses to all proposals that management determines are worthy of pursuing. Hiring and onboarding staff, supervisory responsibilities including conducting performance reviews. JOB QUALIFICATIONS: U.S. Citizenship or legal authorization to work in the U.S. Minimum of 15 years progressive experience with 5 or more years of proven experience managing business operations. B.S. Degree in Engineering in Civil or Environmental preferred but not required, or related management experience of technical staff. California PE preferred. Demonstrated history of growing a business with positive client satisfaction. Proven results in meeting internal or external client requirements for Scope, Schedule, Budget, and Quality. Knowledge and experience leading, developing, and managing multiple service lines. Excellent written and verbal communication skills and demonstrated ability to communicate across all levels of the company. Highly organized, self-motivated and results driven with the ability to organize priorities and multi-task effectively. Strong interpersonal skills. WORKING CONDITIONS Ability to perform in a high stress environment. The employee spends most of the time sitting or standing in a comfortable position with ample opportunity to move about. Must be able to lift 50 lbs. Ability to read or interpret data as well as having the capacity to communicate (verbally and written) with both company and outside personnel professionally and effectively. There is a strong emphasis on safety while working both in the office and in the field. We are an Equal Opportunity Employer. This Company is dedicated to both the letter and the spirit of the equal opportunity employment laws. All applicants and all employees will be evaluated on the basis of their ability, competence, and performance of the essential functions of their positions. There will be no discrimination on the basis of race, sex, national origin, religion, age 40 and over, disability, sexual orientation, marital status, or any other classification which may be protected by federal, state, or local laws. In compliance with the Americans with Disabilities Act, we specifically offer equal opportunity for all employees or job applicants who may have a physical or mental disability. Such persons will be hired on the basis of their ability to perform the essential functions of the job in question. Likewise, their work will be evaluated on their performance of these essential functions. We will offer reasonable accommodation to individuals with disabilities, to the extent that Company resources allow without undue hardship. As part of our equal employment policy, there will be no wage differentials based on gender, between men and women employed in the same establishment, on jobs that require equal skill, effort, and responsibility, and which are performed under similar working conditions.
    $107k-149k yearly est. 13d ago
  • Senior Engineer (Experimental Fluid Mechanics)

    Compunnel Inc. 4.4company rating

    Principal Engineer Job 21 miles from Artesia

    As a Senior Engineer for Experimental Hemodynamics, you will be responsible for ensuring that development testing is executed on-time with precision and efficiency. Your responsibilities include managing hemodynamics test planning and scheduling, test article/fixtures build and test setup including instrumentation. You will support both transcatheter heart valve (THV) product development and research and development teams. What you'll be able to do: Support experimental hemodynamics / optical flow visualization test scheduling and execution to meet project timelines at clients Irvine advanced imaging and hemodynamics test facility. Support article/fixtures build including instrumentation. Support parts build across internal and external suppliers as directed by model design team. Author/Co-Author SOP, IQ, TMV Protocols and Reports, as well as DV Protocols and Reports Assist with assembly instrumentation including connection to data acquisition equipment. Ensure physical test setup and acquired data meets quality standards. Ensure safety as a number one priority for test article build, setup and test execution. The minimum requirements we seek: Graduate degree (Thesis based Masters or PhD) in Engineering or Applied Physics with focus on Experimental Fluid Mechanics Proven publication record in Experimental Fluid Mechanics (digital copies of the articles will be requested to evaluate competency) Hands-on skills relating to 2D2C Particle Image Velocimetry within LaVision DaVis environment, including data postprocessing/analysis externally using MATLAB. Hands-on skills relating to testing of flow instabilities in the closed fluid loop and physical assembly of components, including the use of various hand and power tools. Hands-on skills relating to CAD modelling using Solidworks. Technologically inclined and competent using windows-based programs. Excellent attention to details in both physical and computer environments Logical thinker with good judgement and decision-making abilities Ability to work with commitment and accuracy under pressure to successfully meet deadlines. Excellent verbal and written communication skills Ability to adapt to changing priorities. Self-starter and able to work independently and as part of a team. Our preferred requirements: 2+ years of experience with testing of flow instabilities in the closed fluid loop using particle image velocimetry technique, including test article build and test execution. 2+ year of experience of instrument setup and data acquisition on test article Passion for structural heart hemodynamics or related technologies Enjoy using your attention to detail to understand and solve technical problems. Relish collaborating across functions in a team environment, employing an open and honest communication style.
    $88k-113k yearly est. 13d ago
  • Senior Engineer - Utilities

    Lonza

    Principal Engineer Job 32 miles from Artesia

    Today, Lonza is a global leader in life sciences operating across five continents. While we work in science, there's no magic formula to how we do it. Our greatest scientific solution is talented people working together, devising ideas that help businesses to help people. In exchange, we let our people own their careers. Their ideas, big and small, genuinely improve the world. And that's the kind of work we want to be part of. Take on an exciting opportunity with Lonza, a global leader in life sciences, and contribute to our outstanding team as a Senior Engineer in Utilities. This role is crafted to support our ambitious growth and ongoing success at our Vacaville, CA site. Join us in driving world-class technical solutions and ensuring flawless operations in plant utilities. Key responsibilities: Identify, analyze, and design improvements for facility, utility, process, and other utilities equipment. Provide technical support for all site utility systems, including water pretreatment, plant and process drains, water for injection, and clean steam systems. Ensure uninterrupted quality supply of pharmaceuticals through technical support and compliance. Develop and maintain engineering documentation such as P&IDs, design drawings, and specifications. Support project documentation development and review, including schedules, budgets, URS, PEP, RFQs, and Contractor Bid Packages. Drive and manage planning and design phases, including project initiation and detailed design. Conduct daily process monitoring and analysis, and support discrepancy investigations. Coordinate construction phases, managing contractors and ensuring successful project completion. Resolve emergent issues on a 24/7 basis, including weekends and holidays if necessary. Collaborate with Global Engineering on major projects. Continuously improve technical infrastructure, standards, practices, and procedures. Lead identification and implementation of process performance improvements. Provide technical mentorship to utility operations technicians. Provide SME support during plant audits. Provide guidance for 'like for like' or similar parts of obsolete parts and guidance to maintenance during critical repairs. Key requirements: BS Engineering degree from an accredited university. Electrical, mechanical, or chemical engineering or equivalent degree is preferred. Strong understanding and demonstrated ability with engineering principles and techniques. Knowledge of FDA and EMA regulations, cGMPs, building codes, and related standards. Proficiency in ISA standards and practices for instrumentation. Knowledge of calibration theories and techniques. Understanding of project lifecycle including initiation, design, construction, and commissioning/validation. Effective communication and interpersonal skills with technical, operations, maintenance, quality, and senior management personnel. We recognize that attracting, developing and retaining the best talent is key to our strategy and success as a company. As a result, we aim for flexibility in structuring competitive compensation offers to ensure that we are able to attract the best candidates. As required by law in this state, the quoted salary range for this position is $129,000-$219,000. We use broad salary ranges that reflect the competitive market for similar jobs, provide sufficient opportunity for growth as you gain experience and expand responsibilities; while also allowing for differentiation on performance based on the breadth of our ranges, most new hires will start at the company between the lower and the middle part of the applicable range. We tailor our offers within the range based on job-related factors, including organizational needs, internal equity, market data, geographic area and the selected candidate's experience, education, industry knowledge, location, technical and/or communication skills and other factors that may prove relevant during the selection process. This range is only inclusive of base salary, and base pay is just one component of Lonza's total compensation package for employees. Full-time employees are eligible to receive a performance-related bonus, as well as a comprehensive benefits package including medical and dental coverage, 401k plan, life insurance, short-term and long-term disability insurance, an employee assistance program, paid time off (PTO), and more. Every day, Lonza's products and services have a positive impact on millions of people. For us, this is not only a great privilege, but also a great responsibility. How we achieve our business results is just as important as the achievements themselves. At Lonza, we respect and protect our people and our environment. Any success we achieve is no success at all if not achieved ethically. People come to Lonza for the challenge and creativity of solving complex problems and developing new ideas in life sciences. In return, we offer the satisfaction that comes with improving lives all around the world. The satisfaction that comes with making a meaningful difference. Lonza is an equal opportunity employer. All qualified applicants will receive consideration for employment without regard to race, religion, color, national origin, sex, sexual orientation, gender identity, age, status as a qualified individual with disability, protected veteran status, or any other characteristic protected by law.
    $129k-219k yearly 4d ago
  • Senior FPGA Engineer

    Castelion

    Principal Engineer Job 16 miles from Artesia

    Castelion is bringing a new approach to defense development and production: one that focuses on short, iterative design cycles, rapid testing in development, and modern commercial manufacturing strategies for production at scale. We're designing, building, and testing next generation long range strike weapons systems to give America and its Allies a definitive edge and deter future conflicts. Senior FPGA Engineer (This is a 100% onsite role. It can be based in Torrance, CA or Allen, TX) As a Senior FPGA Engineer you will be at the forefront of defining, implementing, and testing the code that drives our radar seekers. Embedded processors with both FPGA fabric and CPU cores form the backbone of many radar systems. You will be writing and testing FPGA and C/C++ code for both command/control and data processing. Your role involves close collaboration with fellow Castelion engineers across missile-level and seeker-level software and hardware domains. We seek intelligent, collaborative, and driven engineers who are passionate about problem-solving and eager to contribute to an extraordinary mission. If you thrive in challenging environments and are excited by the prospect of making a tangible impact, we want to hear from you. Responsibilities System Synthesis: From seeker requirements, derive and define the compute and code requirements. Lead the part selection trade study for major computer components. Develop innovative ways to solve problems with low-cost hardware that readily scales. Algorithm Implementation: Efficiently implement radar signal processing algorithms. Work with the team to balance sensor performance, compute resources, timeline, cost, thermal, power consumption, volume, etc. Your role includes algorithmimplementation process, from initial design, prototyping, and testing to final integration and deployment for mission-critical applications. Command/Control Implementation: Develop and approach and subsequent code to properly control the radar across all modes. This includes gathering/reporting telemetry, in-test diagnostics, and approaches for recording high speed data during test. Collaboration: Partner with multidisciplinary engineering teams to brainstorm, design, and develop next-generation hypersonic missile capabilities, including advanced guidance algorithms and integrated seeker image processing. Project Leadership: Lead major projects that propel Castelion's mission forward, ensuring alignment with overall objectives and occasionally traveling to launch and customer sites for testing and operations. Basic Qualifications Educational Background: Master's degree in computer science, computer engineering, electrical engineering, math, or a related STEM field. Technical Proficiency: 10+ years of professional radar engineering experience. Seeker experience is preferred. Preferred Skills and Experience System Experience: Practical experience with radar calibration, test and deployment. Prefer experience in missile domain. Technical Skills: Highly skilled FPGA coder, familiar with development tools of major FPGAs, familiar with radar signal processing and calibration techniques. Problem-Solving: Innovative problem-solving approach using first-principles thinking, including developing prototypes to validate key design concepts and quantify technical constraints. All employees are granted long-term stock incentives as part of their employment as Castelion. All employees receive access to comprehensive medial, vision, and dental insurance, and the company offers three weeks of paid time off per year. Leadership Qualities Bias to Action and Creative Problem Solving. Desire and experience questioning assumptions in ways that lead to break through ideas that are ultimately implemented. Successfully bring in applicable processes/concepts/materials from other industries to achieve efficiency gains. Ability to personally resolve minor issues in development without requiring significant support. High Commitment, High Initiative. A successful candidate will have a genuine passion for Castelion's mission and consistently look for ways to contribute to the company's technical goals and prevent hardware blockers. Ability to work in a fast paced, autonomously driven, and demanding atmosphere. Strong sense of accountability and integrity. Clear Communicator. Proactively communicates blockers. Trusted in previous roles to be voice of company with regulators, suppliers, gate keepers and customers. Capable of tactfully managing relationships with stakeholders to achieve company-desired outcomes without compromising relationships. Emails, IMs and verbal interactions are logical, drive clarity, and detailed enough to eliminate ambiguity. ITAR Requirements: To conform to U.S. Government export regulations, applicant must be a (i) U.S. citizen or national, (ii) U.S. lawful, permanent resident (aka green card holder), (iii) Refugee under 8 U.S.C. § 1157, or (iv) Asylee under 8 U.S.C. § 1158, or be eligible to obtain the required authorizations from the U.S. Department of State. Employment with Castelion is governed on the basis of competence and qualifications and will not be influenced in any manner by race, color, religion, gender, national origin/ethnicity, veteran status, disability status, age, sexual orientation, gender identity, marital status, mental or physical disability or any other legally protected status.
    $107k-148k yearly est. 2d ago

Learn More About Principal Engineer Jobs

How much does a Principal Engineer earn in Artesia, CA?

The average principal engineer in Artesia, CA earns between $105,000 and $211,000 annually. This compares to the national average principal engineer range of $95,000 to $177,000.

Average Principal Engineer Salary In Artesia, CA

$149,000

What are the biggest employers of Principal Engineers in Artesia, CA?

The biggest employers of Principal Engineers in Artesia, CA are:
  1. Relativity
  2. Utility-Services
  3. Axens Group
Job type you want
Full Time
Part Time
Internship
Temporary