Verification Engineer/ASIC RTL / SoC Design Engineer
Electrical Engineer Internship Job 17 miles from Campbell
TetraMem is a fast-growing venture-backed well-funded startup company working on the next generation computing platforms with unique ReRAM-based in-memory computing technologies. We are hiring in multiple positions from software to hardware.
In this team you be part of a world-leading IC design team responsible for the development and deployment of hardware solutions for a revolutionary computing system, which we believe can bring up the energy efficiency by another two orders of magnitude, and completely changes the AI IoT industry. It is based on our unique computing memory device, which has SoTA bit-level per cell, nonvolatile, excellent retention, and endurance. We offer a very competitive compensation and benefits package (including medical, unlimited PTO, and 401k) that commensurate with experience.
Pay Range:
$110,000 - $250,000
ASIC RTL/SoC Design Engineer
Requirements:
● MS with 5+ years of experience or PhD in Electrical Engineering with emphasis on RTL/SoC/digital design
● Experience with Verilog and system Verilog
● Experience with VCS, and UVM design verification tools.
● Experience with pre-layout simulation and post-layout simulation
● Understanding of the design flow. Ability to work with the backend team
● Familiarity with AMBA APB AXI Protocol
● Familiarity with RISC/Arm or other core architectures
● Ability to create innovative architecture and solutions to customer requirements
● Ability to work in startup environment and work both independently and as a team player, with the ability to provide technical leadership to other members of the engineering team.
Experience in one or more of the following areas considered a strong plus:
● FPGA/ASIC design of image processing systems
● Working knowledge of SoC architecture such as CPU, GPU or accelerators
● Familiarity with: UVM, place-and-route, STA, EM/IR/Power
ASIC/SoC Design Verification Engineer
In this role, you will be part of a world-class IC design team responsible for defining and developing a revolutionary computing system, which we believe can reduce the energy consumption of AI processing by more than two orders of magnitude over conventional digital solutions. This will completely disrupt the AI IoT landscape. It is based on our unique computing memory technologies with multi-bit-level capacity per cell, which is nonvolatile with excellent retention and endurance.
We offer a very competitive compensation, commensurate with experience, and a full benefits package including medical, professional PTO, 401k, and other perks.
Responsibilities:
· Collaborate with design engineers and architects to define, document and implement detailed test plans for the SoC design verification.
· Build and maintain infrastructure/environment for automation verification of SoC architecture, function and performance.
· Develop reusable testbench, constrained-random/directed testcases, and verification associated behavioral module for both of block levels and system levels.
· Develop regression strategy, methodology and tools(scripts). Define and measure the function coverage. Close verification holes for design releases and tape-out.
· Work with design engineers to debug and identify root causes of simulation failure.
· Support test engineers for post-silicon validation.
· Mentor and coach team members and junior engineers. Drive verification efficiency.
Qualifications:
· MS with 8+ years of relevant experience or PhD (with 3+ years of experience) in Electrical Engineering, Computer Engineering, Computer Science or related degree.
· In depth knowledge of UVM/OVM, Semiformal Verification, assertion-based verification as well as hardware and software co-verification methodology.
· Extensive experience of building verification infrastructure, test planning, coverage closure, testbench and testcases development for function/performance verification.
· Proficient experience with Verilog, System Verilog, Python/Perl/TCL/Shell scripting, C/C++, System C and industry mainstream ISAs assembly coding.
· Familiarity with MIPI, AMBA (APB/AHB/AXI) bus protocol, RISC-V/ARM or DSP core.
· Experience in verifying designs at both of RTL level and post-P&R gate level.
· Ability to work in a startup environment, and to work both independently and as a team player with the ability to provide technical leadership to other members of the engineering team.
Experience in one or more of the following areas considered a strong plus:
· Working knowledge of AI/ML Computing, GPU, ISP architectures and accelerators
· Experience in verifying mix-signal design and interface of digital and analog.
· Experience of design verification for highspeed IO such as PCIE and DDR.
Electrical Engineering Intern
Electrical Engineer Internship Job 23 miles from Campbell
About the Company
Zap Surgical Systems is a surgical robotics start-up located in San Carlos, CA. Leveraging its unique gyroscopic motion and self-shielded design, our product, the Zap-X, is disrupting the industry and opening new frontiers in modern radiosurgery. Zap's employees thrive in an environment where multiple fields of study come together to solve challenging and important problems. You will be joining a diverse, cross-functional team that is bringing to market the most advanced stereotactic radiotherapy technology.
Purpose of Position
We are looking for an Electrical Engineering intern to join our small dynamic team of accomplished engineers for the summer. In this internship you will have the opportunity to assist in the design and development of electrical systems related to the ZAP-X delivery system, write and debug firmware in C using MPLAB, Visual Studio IDE or similar, prepare and deliver design review presentations to justify proposed approaches and solutions, create schematics using Altium Designer and support the assembly of PCBAs as needed under the guidance of a mentor.
At Zap we have a mixture of both incremental and innovative design work ahead of ourselves. This individual will be managed by one of our electrical engineers and be a part of the Hardware Engineering team. We are expecting the intern to work a normal workweek to maximize their development and impact for the team.
With this dynamic environment comes the need for a highly energetic engineer who is ready to dive into design and testing to propel our product development forward. We are looking for someone who is self-motivated, a quick learner and a solid communicator. We do a lot of fun and challenging work every day, but we also work hard to maintain an easy-going environment with a strong sense of community.
Major Duties and Responsibilities
Assist in the design and development of electrical systems related to the ZAP-X delivery system.
Write and debug firmware in C using MPLAB, Visual Studio IDE or similar.
Prepare and deliver design review presentations to justify proposed approaches and solutions.
Create schematics using Altium Designer and support the assembly of PCBAs as needed.
Learn and apply relevant industry electrical standards in design and development tasks.
Qualifications
Education
Completed at least two-years in an undergraduate program in Electrical Engineering (or a related field).
Experience Requirements
Basic understanding of electrical circuits and ability to read schematics.
Strong analytical, technical, and problem-solving skills.
Familiarity with core electrical engineering principles.
Skills and Other Requirements
Ability to work independently and take initiative to complete assigned tasks.
General knowledge of soldering techniques and wiring electrical circuits.
Proficiency in using design tools such as Altium, Eagle, or OrCAD.
Strong written and verbal English communication skills.
ASIC STA Engineer
Electrical Engineer Internship Job 8 miles from Campbell
Who We Are
The Common Hardware Group (CHG) delivers the silicon, optics, and hardware platforms for Cisco's core Switching, Routing, and Wireless products. We design the networking hardware for Enterprises and Service Providers of various sizes, the Public Sector, and Non-Profit Organizations across the world. Cisco Silicon One (#CiscoSiliconOne) is the only unifying silicon architecture in the market that enables customers to deploy the best-of-breed silicon from Top of Rack (TOR) switches all the way through web scale data centers and across service provider, enterprise networks, and data centers with a fully unified routing and switching portfolio. Come join us and take part in shaping Cisco's ground-breaking solutions by designing, developing and testing some of the most complex ASICs being developed in the industry.
What You'll Do
This role expects you to be responsible for closing timing at block, sub-chip, and full-chip levels, performing quality checks such as setup, hold, transition, and noise, while managing ECO tasks.
Your role may include extraction and STA flow development, convergence strategies, and correlation between PNR, Spice, and STA, along with advising the Physical Design team on best practices.
Additionally, you'll develop methodologies, guidelines, and checklists to streamline STA work, resolve design and flow issues, and drive execution to ensure progress and accuracy.
Who you'll work with
You will collaborate with ASIC Front-end and Back-end teams to understand chip architecture and guide them in refining design and timing constraints for seamless physical design closure. As part of this team, you'll be working closely with the timing lead on backend timing signoff, including CDC checks, static timing verification, and silicon debugging.
Who You Are
Experience in generating timing constraints and performing quality checks such as setup, hold, transition, and noise.
Timing closure with various timing ECO including transition, setup, hold, noise, crosstalk, and power recovery.
Familiarity with various on-chip variation including AOCV, POCV and voltage, temperature, aging-based timing derates
Proficient in synthesis constraints and using industry standard synthesis tools.
Good written and verbal communication skills.
Collaborative and team-focused with the commitment to learn and grow.
Minimum Qualifications
Bachelor's degree in electrical or computer engineering (or other equivalent field) with 5+ years of related work experience.
Prior experience using Synthesis Tools: Synopsys DC/DCG/FC.
Prior experience in Static Timing Analysis & ECO: Synopsys Primetime/Cadence Tempus.
Prior experience with scripting such as TCL, Perl, or Python.
Preferred Qualifications
Master's degree in electrical or computer engineering (or other equivalent field) with 2+ years of related work experience.
Experience using: Synopsys PTPX/Tweaker/PrimeClosure
Experience using Formal Verification: Synopsys Formality and Cadence LEC.
Experience using Parasitic Extraction: Synopsys Star-RCXT, Cadence Quantus.
Why Cisco?
#WeAreCisco. We are all unique, but collectively we bring our talents to work as a team, to develop innovative technology and power a more inclusive, digital future for everyone. How do we do it? Well, for starters - with people like you!
Nearly every internet connection around the world touches Cisco. We're the Internet's optimists. Our technology makes sure the data travelling at light speed across connections does so securely, yet it's not what we make but what we make happen which marks us out. We're helping those who work in the health service to connect with patients and each other; schools, colleges and universities to teach in even the most challenging of times. We're helping businesses of all shapes and size to connect with their employees and customers in new ways, providing people with access to the digital skills they need and connecting the most remote parts of the world - whether through 5G, or otherwise.
We tackle whatever challenges come our way. We have each other's backs, we recognize our accomplishments, and we grow together. We celebrate and support one another - from big and small things in life to big career moments. And giving back is in our DNA (we get 10 days off each year to do just that).
We know that powering an inclusive future starts with us. Because without diversity and a dedication to equality, there is no moving forward. Our 30 Inclusive Communities, that bring people together around commonalities or passions, are leading the way. Together we're committed to learning, listening, caring for our communities, whilst supporting the most vulnerable with a collective effort to make this world a better place either with technology, or through our actions.
So, you have colorful hair? Don't care. Tattoos? Show off your ink. Like polka dots? That's cool. Pop culture geek? Many of us are. Passion for technology and world changing? Be you, with us! #WeAreCisco
Hardware Engineer
Electrical Engineer Internship Job 8 miles from Campbell
Meta is seeking a Hardware Engineer to join their team in Sunnyvale, CA. This position will be working in a lab setting performing various hardware testing and validation procedures on circuit boards and various subsystems.
Job Responsibilities:
Help to define and perform electrical validation methodologies for various hardware subsystems working with system integration EE team and technology teams.
Define and track detailed test plans for the different modules and top-level systems.
Oversee validation coverage including SoC, low speed signal interface signals, high speed differential interface, power measurements, and electrical performance of subsystems such as optical sensors, microphones, cameras, battery, charger, and RF.
Drive and execute validation to meet key deadlines and priority goals.
Own execution, interpretation, and reporting of electrical validation work status and results.
Keep track of coverage metrics and bugs encountered and fixed
Support system bring up and debug activities
Clearly communicate test plans and results
Job Qualifications:
Bachelor's degree in electrical Engineer or similar degree
Hardware lab experience conducting electrical system test and/or validation
System testing on consumer electronics
Experience with definition of validation test plans
Experience executing electrical validation on the bench.
Familiarity with lab equipment such as oscilloscopes, DMM, e-load, power supply, power analyzer, spectrum analyzer, signal generator, and temp chamber.
Understanding of board schematics and board layout. Ability to find proper probe locations and identify potential points of failure in the DUT.
Knowledge of Python and Matlab for data processing and automation.
Bachelors degree in Electrical Engineering, Computer Science or equivalent experience
Electrical Engineering Intern
Electrical Engineer Internship Job 17 miles from Campbell
Nova is a leading innovator in Semiconductor metrology sector, dedicated to developing cutting-edge technology solutions. We are seeking a motivated and talented Electrical Engineering Intern to join our team for the summer of 2025.
Duration: Summer 2025
Location: Fremont (Must be located in Bay Area)
Base Pay Range: $30.00 - $35.00 per hour based on pursuit of a Bachelor's or Master's
Job Overview: As an Electrical Engineering Intern, you will work closely with our engineering team to design, develop, and test electronic circuits and systems. This internship will provide you with hands-on experience in board level circuit design, and PCB assembly using Altium. You will also have the opportunity to enhance your programming skills in C and Python.
Key Responsibilities:
Assist in the design and simulation of analog and digital circuits, including operation amplifiers, BJTs, FETs, ADCs, and DACs, microcontrollers, etc.
Use Altium Designer to create and modify PCB layouts, ensuring compliance with industry standards.
Perform testing and debugging of electronic circuits and systems to ensure functionality and reliability.
Develop and maintain software in C and Python for embedded systems and automation tasks.
Prepare technical documentation, including schematics, test reports, and user manuals.
Work collaboratively with cross-functional teams to support project goals and deadlines.
Qualifications:
Currently pursuing a Bachelor's or Master's degree in Electrical Engineering or a related field.
Strong understanding of circuit design principles and experience with operation amplifiers, BJTs, FETs, ADCs, and DACs, microcontrollers, etc.
Proficiency in C and Python programming languages.
Experience with Altium Designer for PCB layout and design.
Knowledge of PCB assembly and manufacturing processes.
Excellent problem-solving skills and attention to detail.
Ability to work independently and as part of a team.
Strong communication skills, both written and verbal.
Get to know us better:
Nova (NASDAQ: NVMI) is a global company and a leading provider of innovative metrology solutions for process control in semiconductor manufacturing.
With a team of ~1200 people in three R&D centers and 27 field offices, we bring insights into the world's most advanced industry. We leverage multiple technology fields such as physics, optics, chemistry, and algorithms to develop hardware and software solutions that measure almost every advanced computer chip built in the world.
Nova dives deep into dimensions and layers at the atomic level to extract unique insights and provide our customers with crucial decision-making data, managing critical challenges by providing unique, differentiated solutions.
Our outstanding people-focused and innovative
culture means every person at Nova has the power to make an impact and significantly redefine what people can achieve through technology.
Join us if you are
A dreamer and brilliant high aimer who sees the impossible as the starting point and loves working in a multidisciplinary global team to create innovative breakthroughs.
If you need assistance due to a disability, you may contact us at *****************
Electrical Product Engineer / NPI
Electrical Engineer Internship Job 6 miles from Campbell
As an Astera Labs New Product Introduction (NPI) / Electrical Product (EPE) Engineer, you will be part of a Hardware Engineering team that designs and manufactures products featuring Astera Labs' portfolio of connectivity ASICs used in the world's leading cloud service providers, server, and network OEMs. In this role, you will be responsible for NPI-taking products from the design stage to volume manufacturing. You will work closely with designers, manufacturing teams, suppliers, and contract manufacturers to ensure that hardware product test flows are properly introduced, released to manufacturing, and supported past production.
This role will also require engagement in activities such as Bill of Materials (BOM) management, Design for Manufacturability (DFM), validation, and process documentation. Additionally, the EPE is expected to drive continuous improvements in manufacturing test flow, product yield, and cost efficiency.
While your focus will be on NPI/EPE, you will have the opportunity (and be expected-depending on your experience) to contribute to adjacent areas such as test engineering, validation, and quality. Being part of a growing manufacturing team, we welcome the diverse experience you bring.
Key Responsibilities:
Own manufacturing NPI/EPE activities, ensuring successful product transition from design to volume manufacturing.
Manage PCBA BOM structuring and risk assessment to improve manufacturability and minimize changes late in the cycle.
Lead BOM release through ECO and PLM tools, ensuring alignment with cross-functional teams.
Analyze end-to-end manufacturing capacity and work with operations teams for future planning.
Collaborate with cross-functional partners on developing Contract Manufacturer (CM) capabilities to prototype, launch, and transition products to high-volume production.
Identify and mitigate contract manufacturer gaps in capabilities, process readiness, and documentation.
Work with factory and engineering teams to troubleshoot failures, perform root cause analysis, and implement corrective actions.
Participate in and help define key manufacturing processes, including NPI, product engineering, validation, and quality control.
Drive improvements in SMT process flow, quality control, and inline inspections.
Develop clear, precise manufacturing instructions, rework, and deviation documentation.
Work cross-functionally to understand and resolve issues throughout product lifecycle with emphasis in hardware design and manufacturing.
Basic Qualifications;
5+ years of experience in NPI/EPE roles.
Strong academic and technical background in electrical engineering or electronic manufacturing. Bachelor's in EE or equivalent experience required.
Minimum of 5 years' experience in test engineering or electronics manufacturing.
Entrepreneurial, open-minded behavior with a strong "customer-first" mindset.
Ability to travel to CMs as needed to support production ramp-up and troubleshooting.
Required Experience:
Strong understanding of high-tech manufacturing processes, NPI activities, and product development lifecycle.
Experience working with off-shore contract manufacturers.
Track record of successfully launching complex electronic products.
Demonstrated ability to analyze and optimize manufacturing test data to improve yields and efficiency.
Experience with DFM, Design for Testability (DFT), and Design for Assembly (DFA) methodologies.
Bill of Material (BOM) structuring and risk management experience with PLM tools (e.g., Arena, Agile).
Strong debugging experience for hardware design and production failures.
Root cause analysis of contract manufacturing issues.
Experience implementing process documentation and manufacturing best practices.
Preferred Experience:
Familiarity with optical/electrical networking module manufacturing and testing (e.g., SFP+, QSFP, OSFP), data center class products such as servers, network switches, modular chassis, and/or PCIe add-in cards
SMT process improvement and quality control methodologies.
Data analysis and reporting using Python.
Ability to assess and close Contract Manufacturing gaps in capability.
Proficiency with EE design tools, including schematic capture and PCB layout (Cadence, Altium, etc.).
Technical writing experience for generating clear and precise manufacturing documentation.
Exposure to ASIC/silicon development and hardware validation processes.
ASIC Physical Design Engineer
Electrical Engineer Internship Job 17 miles from Campbell
If you are an
ASIC Physical Design Engineer
who wants to impact the transformation of the next evolution of computing, we would like to talk to you. Efficient is hiring a senior ASIC Physical Design Engineer with experience in backend implementation from Netlist to GDSII. We seek individuals to leverage low‑power techniques and design-technology co‑optimization in advanced technology nodes to build energy‑efficient SoCs.
This is a unique opportunity to get in at the ground level and have influence on our products as we move from initial stages of product development to market release and scaled volume production. Join our team and help us shape the future of computing at the edge and beyond!
Key Responsibilities
Take ownership of the physical design of multi-hierarchy low-power designs in advanced technology nodes. This includes executing physical-aware logical synthesis, floor planning, place and route, clock tree synthesis, static timing analysis, ERC, IR drop analysis, electromagnetic analysis, and physical verification.
Analyze, debug and fix placement-, cts-, routing-, and buffering- related design and flow issues, using semi-custom placement, route guides and other tool directives via scripts to converge design to PPA targets.
Own and deliver designs meeting sign-off timing targets (setup/hold across multiple corners with OCV derating) within specified power envelope while managing constraints (sdc).
Lead the integration of the partition/IP, analyze port, feedthrough, macro placements, review DRV, LVS, IR violations and adjust collateral for clean integration.
Engage with the digital design team to understand the architecture to address congestion and timing issues through design modifications and functional Engineering Change Orders (ECOs).
Engage with the DFT team to plan and provide early feedback on design decisions that relate to physical implementation.
Create scripts for EDA tools to automate tasks and enhance the throughput and quality of the physical design process.
Required Qualifications
Master's degree in Electrical Engineering with 5+ years of industry experience or PhD in Electrical Engineering with 3+ years of industry experience.
Proven track record of delivering block (or SoC) RTL2GDSII for multiple tape-outs in 22nm or below process technologies.
Experience with EDA flow using Cadence/Synopsys/Mentor tools for front‑end (Synthesis/LEC), back‑end (Place and Route), and verification/simulation (Physical Verification) with hierarchical design and abstraction techniques.
Hands-on experience in place & route, power and clock-tree implementation, and timing convergence of high-frequency designs.
Knowledge of static timing analysis, defining constraints and exceptions, corners/voltage definitions.
Experience with low power implementation typical in industry, including advanced knowledge of UPF standard (IEEE-1801).
Excellent scripting skills in TCL, Bash and python.
Preferred Qualifications
Experience in full chip floor planning, partitioning, budgeting, and power grid planning.
Knowledge of circuit design, device physics, deep sub-micron technology, and SOI technology and its implications to physical design.
Proficiency with industry-grade physical design flow and hands-on building CAD flow infrastructure for PD engineers.
Knowledge of design constraints for static timing analysis (synthesis, pre/post‑cts, sign‑off) and corners/voltage definitions.
Experience in validating Power Distribution Networks from package to pg grid, IR/EM: static and dynamic.
Experience in integrating analog or mixed-signal macro on top-level design.
About Efficient:
Efficient is developing the world's most energy-efficient general-purpose computer processor. Efficient's patented technology uses 100x less energy than state of the art commercially available ultra-low-power processors and is programmable using standard high-level programming languages and AI/ML frameworks. This level of efficiency makes perpetual, pervasive intelligence possible: run AI/ML continuously on a AA battery for 5-10 years. Our platform's unprecedented level of efficiency enables IoT devices to intelligently capture and curate first-party data to drive the next major computing revolution.
Efficient was established in 2022 as a spinout of Carnegie Mellon University and is currently building a world-class team of hardware and software engineers spanning from silicon implementation to compilers. With seed funding secured, a portfolio of patent-protected IP, and substantial progress on hardware and software development, Efficient delivered first silicon in mid-2024. Find out more about our story at ******************************
Working at Efficient:
We are a small, but mighty team, with team members spread across EffHQ in Pittsburgh, Silicon Valley, and New York City. We are a young company on a tremendous growth path. We aim to bring our team together, in-person often and camaraderie is key to our success. We have the tools and technology to keep us together and interactive as a remote team, as well.
We are dedicated to our core values: Adventure, Teamliness, Excellence, Impact, Determination, Integrity. Our core values define who we are as people, as a team and as a company and they are prevalent throughout our meetings, interactions, and culture.
Efficient offers a competitive compensation and benefits package, including 401K match, company-paid benefits, equity program, paid parental leave, flexibility, and more! We are committed to personal and professional development and strive to grow together as people and as a company.
ASIC Package Design Engineer
Electrical Engineer Internship Job 11 miles from Campbell
Socionext America Inc. (SNA)
Socionext Inc. (SNI) is an innovative enterprise that designs, develop and deliver System-on-Chip solutions to customers worldwide. The company is focused on AR/VR, ADAS, imaging, networking, data storage and other dynamic technologies that drive today's leading-edge applications. Socionext combines world-class expertise, experience, and an extensive IP portfolio to provide exceptional solutions and ensure a better quality of experience for customers. Founded in 2015, Socionext Inc. is headquartered in Yokohama, and has offices in Japan, Asia, United States and Europe to lead its product development and sales activities. Socionext America Inc. (SNA), a wholly owned subsidiary of SNI.
We are seeking a Senior Engineer, Package Design to work from our Milpitas, CA office.
Responsibilities
The Package Engineering function provides support, expertise, and insight to the Silicon device development team through preliminary activities of package selection, routing techniques and necessary simulation work. The position involves diverse responsibilities, including evaluation of new packaging technology, package recommendation for custom devices, substrate design support, device/package qualification. You will be reporting to the Director of Package design (USA) and also you will be working very closely with Package/Manufacturing team in our headquarter (Japan),Marketing and Engineering teams located in our Santa Clara office during pre/post sales process.
This position requires experience in the Fabless semiconductor model with a broad knowledge of package technology and manufacturing. Successful candidates will have a deep understanding of a variety of IC package technologies. Candidate should possess specific experience in the following areas: high performance build-up substrates, flip chip assembly or 2.5D packaging. Knowledge of Chiplet technology, Optical integrated packages and also experience in extracting/simulating package designs for SI and PI using tools such as HFSS, POWER SI and other leading tools.
Qualifications
Education
Bachelor's degree in Electrical Engineering, or other semiconductor packaging related discipline
Required Experience And Skills
8 to 10 years of experience in semiconductor packaging design and simulations
Record of success in cross-functional team environment
Good experience with SI/PI tools for package level extraction/simulation
Ability to work with Package Layout engineers
Strong presentation and communication skills
Preferred Experience And Skills
Good knowledge of IC package materials and manufacturing
Staff Electrical Engineer
Electrical Engineer Internship Job 17 miles from Campbell
At MightyFly, we are pioneering a revolution in the logistics industry via our autonomous hybrid eVTOL cargo aircraft. Our mission is to provide efficient, affordable and low emissions expedited deliveries to everyone.
Legacy logistics services are time and resource intensive. At MightyFly, you will have the opportunity to work on cutting edge technology to change that. We are building autonomous eVTOL aircraft with cargo capacity of 100 to 500 pounds and range of 600 miles. Our expedited delivery services aim to streamline B2B and B2G logistics, providing very efficient point-to-point deliveries to our customers. We will fundamentally transform how goods are moved around the globe.
Join the MightyFly team and help us change the world!
ABOUT THE ROLE
We are seeking a highly skilled and experienced Staff Electrical Engineer to join our growing team and contribute to the development of one of the world's largest autonomous cargo aircraft. As the Staff Electrical Engineer, you will be responsible for planning and leading the architectural design, implementation, and testing of electrical systems onboard MightyFly's hybrid-electric eVTOL aircraft. This role requires a strong fundamental understanding of electric powertrain systems, power electronics, high voltage battery management, and safety critical design.
Our ideal candidate is self-motivated, passionate about hybrid eVTOL aircraft development, and has a strong interest in working in a small, fast paced team where a variety of skills will need to be applied day to day. Your work will have a direct impact on our mission and success.
WHAT YOU'LL DO
Be responsible for the design, development and integration of high voltage batteries, powertrain hardware, power electronics, and control for the aircraft's hybrid-electric systems.
Lead hands-on integration and testing of aircraft electrical systems
Perform system trades and provide data-driven recommendations for build vs buy decisions
Contribute to high-level planning and architecture discussions in collaboration with other team members
Generate technical drawings of systems, components, and interfaces
Manage prototype production and contract manufacturers.
WHAT YOU'LL BRING
General
A passion for building systems from the ground up
A can-do attitude for tackling and solving complex problems
Be a self-starter with the ability to work and thrive in a dynamic and fast-paced environment
Great documentation skills
Technical
Bachelor's or Master's degree in electrical engineering with 10+ years of experience as a hands-on Electrical Engineer
Experience designing high voltage (400V-800V) systems including safety, protection, and fault handling
Deep expertise in power electronics, thermal management, power conversion
Strong understanding of hybrid electric propulsion systems including inverter and generator technology, motor control, brushless motor operation
Experience in design and fabrication for automotive or aerospace environments (extreme temperature, shock, vibration, etc)
Planning & Partnering
Ability to plan and deliver on time
Ability to translate product requirements into technical implementation plans
Excellent technical communication skills
Organizational Skills
Nice to have
Familiarity with aviation certification standards & processes
Educational background or work experience in high performance vehicles (electric or hybrid vehicles, race vehicles, Solar Car, Formula SAE)
Experience with various battery chemistries and related battery management systems
WHAT ELSE YOU NEED TO KNOW
MightyFly is only able to sponsor TN visas and H1B visa transfers for this position at the present time.
Equal opportunity:
MightyFly is an equal opportunity employer and prohibits discrimination and harassment of any type. We do not discriminate based upon race, religion, color, national origin, sex (including pregnancy, childbirth, reproductive health decisions, or related medical conditions), sexual orientation, gender identity, gender expression, age, status as a protected veteran, status as an individual with a disability, genetic information, political views or activity, or other applicable legally protected characteristics.
Diversity:
MightyFly values diversity and welcomes applications from those who are traditionally underrepresented in the tech industry. We are an international team, led by a female CEO.
Job Location:
Bay Area.
Work will be on site.
Culture:
We are aviation and aerospace enthusiasts who believe the sky is not the limit.
Teamwork: We believe that to achieve great results we need great teamwork.
Working hard: Building reliable aviation and logistics products is really hard but also exciting, challenging, and rewarding.
Having fun: We believe that hard work needs to be coupled with fun. We love hanging out beyond the workday, hosting team dinners, and organizing fun activities. We love the outdoors and outdoor sports. And of course, we love flying.
Celebrating wins large and small.
Creativity. We love and encourage creativity. The best ideas emerge when our working environment fosters out-of-the-box thinking.
Equity, inclusivity, and respect for the diversity of life and work experiences that each individual brings to the team.
Transparency, honesty, and integrity.
Benefits:
Competitive health, dental, and vision insurance
401k Plan
Commuter benefits
Complementary in office meals and snacks
Paid time off
Stock option/equity plan
Associate Electrical Engineer
Electrical Engineer Internship Job 17 miles from Campbell
We are looking for a talented and experienced Electrical Engineer to join our hybrid team on the west coast. This role could be based in Los Angeles, San Diego, San Francisco, or Seattle.
As an Associate Electrical Engineer, you will have the opportunity to apply your technical knowledge of building services systems across a portfolio of ground-breaking projects in the US and globally. Strong communication skills and teamwork are essential to life at Buro Happold and in turn, we can offer you unrivalled career, project, and development opportunities.
Here at Buro Happold, expect the exceptional.
From the projects you will work on to the clients we work with. From career-defining opportunities to an inclusive and diverse practice where we all contribute to a more equitable world. From our reputation to our relationships. Wherever your Buro Happold career takes you, it'll be anything but ordinary.
What would you be doing?
As a key part of the team, your responsibilities will be varied, but likely to include:
Work closely with clients, architects and other Buro Happold Engineers and/or design team members as part of a dedicated and skilled team
Produce coordinated detailed design drawings, electronic models, specifications, written reports, site observation reports, schedules etc. on a variety of projects within the electrical discipline, as well as the coordination with utility companies and obtaining the necessary electrical permit approvals from Plan Check
Organize technical and financial proposals for new work
Manage workload of Project Discipline Engineers and Technicians (including verification of quality).
Take responsibility for concept and preliminary engineering solutions for detail design
Prepare Technical Specifications
Monitor financial performance of jobs
Keep senior staff informed of important and relevant service/design decisions and the objectives of the client are achieved
Mentor junior Electrical Engineers
Continually ensure practices, policies, strategy and services represent the client's best interests and that Buro Happold policy is in keeping with current legislation and the relevant building codes for the relevant state etc
Plan, organize and manage personal workload in order to meet deadlines in line with client commitments
Proactively raise concerns regarding workload and ability to meet deadlines
Maintain an overview of the project team's workload and commitments in order to successfully meet deadlines and balance resource utilization
Actively contribute towards delivering profitable projects in the context of project finances
Monitor financial performance of jobs, with the setting up and managing of job/project administrative systems, including planning and monitoring job resourcing/expenditure (adjusting these as necessary).
What are we looking for?
8+ years' experience of electrical engineering design in the building services and construction industry
Experience working on projects in the following sectors is preferred: Higher Education, Museums, Commercial, Transportation Hubs and/or Stadiums
Relevant bachelor or master's degree
Professional Engineer (P.E.) desirable
LEED AP accredited preferred
Experience using Revit
Familiarity using computational analysis tools such as electrical fault analysis and lighting design software
Ability to accept a high degree of responsibility in a team-based organization, combined with ability to work independently
Excellent technical knowledge of electrical systems; ability to investigate, identify and provide solutions to technical problems
Excellent client-facing and communication skills (verbal and written), with experience presenting (you will often be required to present solutions directly to 'c-suite' level stakeholders)
Experience in the preparation of technical reports for delivery direct to a client audience
Ability to problem solve and propose multiple solutions to Clients and objectively summarize the benefits and shortfalls of each
What we offer:
Salary range of $100,000 to $155,000. This will be determined based on factors such as geographic location, skills, education, and/or experience
Generous benefits package
Annual discretionary bonus
Generous PTO (4-5 weeks depending on position) in addition to 10 paid holidays
401k with company match
Hybrid working & summer hours
Reimbursement for certain professional licenses and associated renewals and exam fees
Connection to global network of experts on the forefront of industry initiatives
Access to wide ranging learning and development opportunities
Be a part of supporting our diverse company culture through open engagement with our Young Employees Forum, Diversity and Inclusion Forum, Buro Happold Women's Network, and/or our Black at Buro Happold Employee Resource Groups
A place for everyone
Buro Happold values an individual's flexible approaches to working patterns as an important part of how you work and achieve balance. We welcome applications from those who are seeking flexibility in their careers. Our exceptional portfolio of projects is the result of the diversity of thought, identities, backgrounds, and experiences that shape us. Appreciating each other's differences is key, we want employees to feel they don't have to mask elements of their identity to thrive. We are working to change the lack of representation of marginalised groups in the built environment. We are particularly keen to hear from anyone who feels they are underrepresented in the industry. We have much to learn from one another. Embracing differences allows us to develop the most innovative and elegant solutions. Please let us know if there are any adjustments we could make to the application process to make it easier and more comfortable for you. Contact *************************** so we can work with you to support you throughout your application.
Staff Electrical Engineer
Electrical Engineer Internship Job 37 miles from Campbell
Oakland, CA
We are looking for an experienced and customer-focused Staff Electrical Engineer to join our team. This role involves designing, testing, and troubleshooting electrical systems for customer projects. Your contributions will be critical to advancing our innovative solutions and driving sustainability in industrial energy systems.
Key Responsibilities:
Design and implement medium voltage power distribution systems.
Apply knowledge of medium voltage devices to create efficient solutions.
Integrate sensors for temperature, power, and other measurements.
Create and analyze single-line diagrams for clear communication.
Ensure electrical systems meet safety standards and regulatory compliance.
Troubleshoot electrical systems and resolve performance issues.
Required Skills and Experience:
Bachelor's or Master's degree in Electrical Engineering.
At least 10 years of experience in medium voltage electrical engineering.
Strong knowledge of medium voltage systems and components.
Experience with sensors and actuators for system control.
Expertise in high-power transformers and their integration.
Familiarity with electrical safety standards and protocols.
Excellent problem-solving and analytical skills.
Benefits:
401K Plan
Performance-based Bonus
Comprehensive healthcare coverage
Collaborative and innovative work environment
Staff Electrical Engineer
Electrical Engineer Internship Job 15 miles from Campbell
This role is onsite 5 days a week.
The Staff Electronics/Systems Design Engineer will work independently and collaboratively with team members design, implement, and evaluate engineering solutions in support of new product and technology development.
Responsibilities including but not limited to:
• Design and implement mixed signal PCBAs, including RF signal generators and power amplifiers, embedded microprocessors and firmware, motor control, sensors, etc. from requirements to production and commercial deployment.
• Perform electrical modeling analysis, design tradeoffs, and PCBA schematic and layout design.
• Drive PCBA and system design characterization and verification testing including EMC and electrical safety. Develop PCBA and system test methods and custom fixtures.
• Author detailed requirements, architecture, and design documents for new products and technologies related to renal denervation.
• Ensure design compliance with industry standards (FDA, TUV, IEC 60601, IEC 62304, etc.).
• Contribute to technical reviews of colleagues' and team deliverables.
• Collaborate cross-functionally with marketing, quality, regulatory, and operations throughout planning, development, verification, and production.
Requirements:
• Bachelor's degree in a relevant technical field, Master's degree is a plus.
• Minimum of 8 years' experience in analysis, design, and implementation of mixed signal PCBAs.
• Experience designing electromechanical instrumentation and systems. Medical capital equipment is a plus.
• Proficient in schematic design, layout, and library management (Altium preferred).
• Excellent hands-on bring-up and debugging skills using lab tools and instrumentation.
• Experienced in SPICE simulation.
• Good knowledge of designing for EMC, ESD, etc.
• Experience with cable harness design.
• Strong communication and documentation skills.
• Experience working with contract manufacturers for PCBA production and electromechanical box build.
• Works independently with strong initiative, collaborates within systems engineering and across technical areas, drives projects to completion.
Salary Range: $169,500 - 217,700 + Bonus
Founding Electronics Engineer
Electrical Engineer Internship Job 44 miles from Campbell
Who We Are
Swish Robotics is bringing embodied intelligence into the home, starting with Bento, an AI-powered cooking robot that prepares fresh meals in under 20 minutes. Backed by top investors like Accel Partners, we are building a team of world-class engineers, designers, and operators to define the future of home robotics.
We are looking for a Founding Electronics Engineer to lead the electrical systems behind our robotics platform. This is an opportunity to build and scale first-of-its-kind consumer robotics hardware while having a direct and lasting impact on our product and company trajectory.
The Role
As the Founding Electronics Engineer, you will take ownership of electrical architecture, PCB design, and embedded systems development for Bento. You will work alongside the founding team to drive core technology decisions, prototype rapidly, and bring a consumer hardware product to market by EoY.
What You'll Do:
- Own the Electronics Stack - Architect, design, and optimize all electrical subsystems for Bento.
- Circuit & PCB Development - Design, prototype, and iterate custom PCBs for power, sensing, and motor control, etc.
- Embedded Systems - Develop firmware for ESP32 and interface with Raspberry Pi 5.
- IoT & Communication Protocols - Implement robust communication (UART, SPI, I2C) between subsystems.
- Prototyping & Manufacturing - Rapidly iterate designs, select components, and prepare for mass production.
- Regulatory & Compliance - Ensure designs meet consumer safety and certification standards (FCC, UL, CE).
- Technical Leadership - Define and implement best practices for hardware engineering at Swish Robotics.
Who You Are
Required Qualifications:
- Experience in electronics engineering, PCB design, and embedded systems.
- Proven ability to take a product from prototype to mass production.
- Deep expertise in circuit design, power electronics, and embedded firmware development.
- Experience designing for consumer hardware, robotics, or electromechanical systems.
- Hands-on problem solver who thrives in a fast-paced, iterative startup environment.
- Strong understanding of IoT architectures and communication protocols (UART, SPI, I2C, MQTT).
- Experience working with contract manufacturers and suppliers.
Bonus:
- Experience with kitchen appliances, automation, or robotics.
Why Join Us?
Build Something Revolutionary - Be at the forefront of consumer robotics and embodied AI.
High Ownership & Impact - Your work will directly shape the future of Swish.
Equity - Meaningful equity in one of the fastest-growing robotics companies.
First 5 Employees - Help define the culture, vision, and technical foundation of our company.
Fast Execution - We move quickly, break things, and learn fast.
It's a Grind (But Worth It) - We're building ambitious hardware, which means long hours, high standards, and constant problem-solving. It's not easy-but if you're wired for this, there's nowhere more exciting to be.
Hardware Engineer
Electrical Engineer Internship Job 6 miles from Campbell
AXISCADES is a leading, end to end engineering solutions and product company. We bring expertise that caters to the digital, engineering, and smart manufacturing needs of large enterprises. With decades of experience in creating innovative, sustainable, and safer products worldwide, AXISCADES delivers business value across the entire engineering life cycle.
Our deep domain expertise and engineering solution portfolio covers the complete product development life cycle from concept evaluation to manufacturing support and certification for the Aerospace, Defence, Heavy Engineering, Automotive, Medical Devices & Industrial Product industries.
AXISCADES is headquartered in Bangalore and has offices across India, North America, Europe and the Asia Pacific region. URL: *************************
Title: Hardware Engineer
Location: Santa Clara, CA, USA
Job Summary
Experienced Electrical Engineer to work on augmented reality products. The candidate will be responsible for designing, testing and validation of electronics that will be integrated into current and future generations of products designed.
Qualifications:
6+ years of industry experience in electrical design
BS in Electrical Engineering or Computer Engineering, or equivalent industry experience
Proven knowledge of electrical engineering fundamentals.
Experience working with multiple of these technologies: digital signal processing, real-time image processing, display engineering, camera modules, mobile SoC and PMIC, or Battery Management System.
Experience with high speed signaling over DP, MIPI, HDMI, and USB SS.
Experience in design of battery-operated systems including chargers, fuel gauges and battery protection circuits.
Knowledge of layout strategies and trade-offs for linear and switching power supply circuits, EMI mitigation, high-speed signal integrity and power delivery networks.
Experience with use of oscilloscopes, high speed digital test equipment, logic analyzers, or function generators and thermal chambers.
Experience with schematic and board design using Cadence OrCAD, Altium or equivalent.
Experience leading technical teams, cross-functional groups and vendors against project plans.
Experience in testing and debugging of optical systems/optoelectronic assemblies and prior experience working with a range of detectors and light sources such as PDs, LEDs, laser diodes and related assemblies a big plus.
Experience working on Qualcomm XR or MediaTek processor platforms is a big plus.
Energy and desire to thrive in a start-up like environment.
Roles & Responsibilities
Involve in Hardware Design Architecture from product specification.
Components identification, BOM optimization
Involve High level design analysis and Schematics capture
Signal Integrity & Power Integrity PDN simulation reports review
PCB design guidelines & constraints to CAD team, layout review & ensuring board to meet DFM & DFT design consideration.
Involve in power supply & signal characterization, ESD & EMI/EMC pre compliance testing to meet FCC.
Interacting & coordinating across different teams like PCB CAD, mechanical, Software, SCM & QA for the complete development of the board & delivery
Communicating with IC vendors for quote, reference designs & any technical support.
Coordinating & communicating with external CM's for addressing EQ's & production Testing.
On-site support to Customer & front-end interaction for gathering requirements and implementation.
Creating issues in JIRA, failure root cause analysis & mitigation plan.
Quick start guide, user guide, ATP & ECN documents preparation.
“We're an equal opportunity employer. All applicants will be considered for employment without attention to race, color, religion, sex, sexual orientation, gender identity, national origin, veteran or disability status"
Hardware Engineer
Electrical Engineer Internship Job 8 miles from Campbell
Job Title: - Hardware Engineer
Duration: - 06 - 09 + Months contract (Possible Extension)
Pay Rate :- $71.42/hr. on W2 all inclusive
Minimum 5 years of experience in hardware design and bring-up.
Top 3 skills:
- Proficiency with schematic and layout tools (OrCAD, Allegro), SI and thermal design considerations
- Required hands-on experience in board bring-up and debug, functional verification and manufacturing support
- Experience with defining hardware system specifications and creating and executing test plans
KEY RESPONSIBILITIES
• Test and bring-up FPGA and CPU based boards and systems
• Review system specification documents for architecture, electrical, power delivery, thermal, and mechanical requirements
• Work closely with in-house board design teams and external contractors to guide and review schematic, layout, thermal and mechanical design
• Work closely with software teams to support firmware and tools development
• Researching and selecting electronic components, reading datasheets and application notes, working with 3rd party partners and vendors to ensure selected devices meet requirements
KEY QUALIFICATIONS
• MUST have hands-on experience in board bring-up and debugging, functional verification, and manufacturing support
• Highly experienced in hardware bring-up and debugging using test equipment like Logic analyzer, oscilloscope basic soldering skills
• Highly experienced with one or more of the following:
o High-speed interfaces like PCIe, USB, Ethernet, high-speed serial
o Low-speed interfaces like UART, SPI, I2C, JTAG
o Power system design
• Experienced with defining system specifications for hardware systems and creating and executing a test plan
• Proficient with schematic and layout tools (OrCAD, Allegro), SI, thermal
• Innovative, critical thinking and troubleshooting skills
• Proficient written, verbal, negotiation, and communication skills
PREFERRED EXPERIENCE
• Familiarity with Open Compute Project standards and specifications
• x86 platform architecture
• Linux kernel/drivers
• C/C++, Verilog, TCL, Python
• Xilinx FPGAs and tools
ACADEMIC CREDENTIALS
• Bachelor's degree or higher in Electrical/Computer Engineering or Electronics/Computer Science related with a minimum 5 years of experience in hardware design and bring-up.
Employee Benefits:
At LanceSoft, full time regular employees who work a minimum of 30 hours a week or more are entitled to the following benefits:
Four options of medical Insurance
Dental and Vision Insurance
401k Contributions
Critical Illness Insurance
Voluntary Permanent Life Insurance
Accident Insurance
Other Employee Perks
About LanceSoft
LanceSoft is rated as one of the largest staffing firms in the US by SIA. Our mission is to establish global cross-culture human connections that further the careers of our employees and strengthen the businesses of our clients. We are driven to use the power of our global network to connect businesses with the right people, and people with the right businesses without bias. We provide Global Workforce Solutions with a human touch.
EEO Employer
LanceSoft is a certified Minority Business Enterprise (MBE) and an equal opportunity employer. We prohibit discrimination and harassment of any kind based on race, color, sex, religion, sexual orientation, national origin, disability, genetic information, pregnancy, or any other protected characteristic as outlined by federal, state, or local laws.
This policy applies to all employment practices within our organization, including hiring, recruiting, promotion, termination, layoff, recall, leave of absence, compensation, benefits, training, and apprenticeship. LanceSoft makes hiring decisions based solely on qualifications, merit, and business needs at the time.
Hardware Debug Engineer
Electrical Engineer Internship Job 10 miles from Campbell
Must need -
• BIOS BMC NIC PSU FPGA ARM SoC to the recipe level as in the EV state we get frequent
• familiarity Protocol l like to I2C, UART, SPI
• Python scripting
• Strong debugging skills for handling exothermic events and PCI issues.
Job Description:
• Configuring the Debug and Production nodes according to their standards physically and logically on the platform
• Continuous validation and updating the nodes for its firmware BIOS BMC NIC PSU FPGA ARM SoC to the recipe level as in the EV state we get frequent changes and fixes with new FW and images
• Python scripting to develop test automation utility tools
• Rack and stack of servers and kit to cabinets
• Physical installation and or removal of equipment within the
• Data Center or Intermediary Data Facilities
• Hardware debugging and troubleshooting using JTAG or similar debug tools
• Results analysis of the tests ran on the production and debug configurations
• Report the Bugs identified related to the hardware
• Troubleshooting hardware errors from Lab and fixing the issues
• Upgrading the ARM SoC images on the Debug nodes from Lab and Production nodes remotely through RM as and when new SoC image releases
• Identified major Bugs in EV1 and EV2 validation testing
• Development and testing automation script using python PowerShell and shell scripting
• Data postprocessing analysis and report out
• Documenting steps and snapshots of benchmarks
• Validation of system rack and rack infrastructure hardware
• Execution of test cases to validate Functionalities and stability of the Parthenon Hardware
• Report the Bugs identified related to the hardware
• Regression of automated test cases from CSI Tool Kit Team CTK
• Report the Bugs identified related to the new version of CTK
• Troubleshooting hardware errors from Lab and fixing the issues
• Continuous validation and updating the nodes for its firmware BIOS BMC NIC PCIe Switch Athena DriverHSP 1PSOC to the Best Known Configuration BKC recipe level as in the EV state we get frequent changes and fixes with new FW and images
ASIC Design Engineer
Electrical Engineer Internship Job 8 miles from Campbell
Meet the Team
The Common Hardware Group (CHG) delivers silicon, optics, and hardware platforms for Cisco's core Switching, Routing, and Wireless products. We design networking hardware for Enterprises and Service Providers of various sizes, the Public Sector, and Non-Profit Organizations across the world. Cisco Silicon One (#CiscoSiliconOne) is the only unifying silicon architecture in the market that enables customers to deploy the best-of-breed silicon from Top of Rack (TOR) switches all the way through web scale data centers and across service provider, enterprise networks, and data centers with a fully unified routing and switching portfolio. Come join us and take part in shaping Cisco's ground-breaking solutions by designing, developing and testing some of the most complex ASICs being developed in the industry.
Your Impact
You will collaborate with architects, ASIC front-end and Design Verification teams to understand chip architecture, implement and get it verified. You will work closely with Back-end team on timing signoff for seamless physical design closure. You will also collaborate with the System and Software teams and participate in the journey from sample arrival through system validation to first customer shipments.
This role expects you to:
Author micro-architecture specifications and participate in specification and test plan reviews.
Architect and implement complex RTL designs.
Scope third party IP requirements and solicit vendors.
Analyze code coverage and provide feedback to the verification team to achieve coverage closure.
Perform LINT and CDC checks.
Triage, debug, and root cause simulation, software bring-up, and customer failures.
Perform diagnostic and post silicon validation tests in the lab.
Mentor and coach colleagues.
Static Timing Analysis skills including generating constraints, performing quality checks such as setup, hold, transition and noise are considered advantageous.
Minimum Qualifications
Bachelor's degree in Electrical Engineering, Computer Science or related degree with 5+ years of ASIC design experience or Masters degree in Electrical Engineering, Computer Science or related degree with 3+ years of ASIC design experience
Experience in Verilog/System Verilog programming skills.
Experience in interactive and waveform debug skills.
Experience with low-power design and clock domain crossings.
Preferred Qualifications
Experience with scripting such as (Python, Perl, TCL, Shell programming)
Networking knowledge preferred, but not essential.
Have good communication, interpersonal skills and a keen interest to work closely in a team environment.
Have a good understanding of the fundamentals of ASIC Design principles
#WeAreCisco
#WeAreCisco where every individual brings their unique skills and perspectives together to pursue our purpose of powering an inclusive future for all.
Our passion is connection-we celebrate our employees' diverse set of backgrounds and focus on unlocking potential. Cisconians often experience one company, many careers where learning and development are encouraged and supported at every stage. Our technology, tools, and culture pioneered hybrid work trends, allowing all to not only give their best, but be their best.
We understand our outstanding opportunity to bring communities together and at the heart of that is our people. One-third of Cisconians collaborate in our 30 employee resource organizations, called Inclusive Communities, to connect, foster belonging, learn to be informed allies, and make a difference. Dedicated paid time off to volunteer-80 hours each year-allows us to give back to causes we are passionate about, and nearly 86% do!
Our purpose, driven by our people, is what makes us the worldwide leader in technology that powers the internet. Helping our customers reimagine their applications, secure their enterprise, transform their infrastructure, and meet their sustainability goals is what we do best. We ensure that every step we take is a step towards a more inclusive future for all. Take your next step and be you, with us!
Associate Electrical Engineer
Electrical Engineer Internship Job 44 miles from Campbell
We are looking for a talented and experienced Electrical Engineer to join our hybrid team on the west coast. This role could be based in Los Angeles, San Diego, San Francisco, or Seattle.
As an Associate Electrical Engineer, you will have the opportunity to apply your technical knowledge of building services systems across a portfolio of ground-breaking projects in the US and globally. Strong communication skills and teamwork are essential to life at Buro Happold and in turn, we can offer you unrivalled career, project, and development opportunities.
Here at Buro Happold, expect the exceptional.
From the projects you will work on to the clients we work with. From career-defining opportunities to an inclusive and diverse practice where we all contribute to a more equitable world. From our reputation to our relationships. Wherever your Buro Happold career takes you, it'll be anything but ordinary.
What would you be doing?
As a key part of the team, your responsibilities will be varied, but likely to include:
Work closely with clients, architects and other Buro Happold Engineers and/or design team members as part of a dedicated and skilled team
Produce coordinated detailed design drawings, electronic models, specifications, written reports, site observation reports, schedules etc. on a variety of projects within the electrical discipline, as well as the coordination with utility companies and obtaining the necessary electrical permit approvals from Plan Check
Organize technical and financial proposals for new work
Manage workload of Project Discipline Engineers and Technicians (including verification of quality).
Take responsibility for concept and preliminary engineering solutions for detail design
Prepare Technical Specifications
Monitor financial performance of jobs
Keep senior staff informed of important and relevant service/design decisions and the objectives of the client are achieved
Mentor junior Electrical Engineers
Continually ensure practices, policies, strategy and services represent the client's best interests and that Buro Happold policy is in keeping with current legislation and the relevant building codes for the relevant state etc
Plan, organize and manage personal workload in order to meet deadlines in line with client commitments
Proactively raise concerns regarding workload and ability to meet deadlines
Maintain an overview of the project team's workload and commitments in order to successfully meet deadlines and balance resource utilization
Actively contribute towards delivering profitable projects in the context of project finances
Monitor financial performance of jobs, with the setting up and managing of job/project administrative systems, including planning and monitoring job resourcing/expenditure (adjusting these as necessary).
What are we looking for?
8+ years' experience of electrical engineering design in the building services and construction industry
Experience working on projects in the following sectors is preferred: Higher Education, Museums, Commercial, Transportation Hubs and/or Stadiums
Relevant bachelor or master's degree
Professional Engineer (P.E.) desirable
LEED AP accredited preferred
Experience using Revit
Familiarity using computational analysis tools such as electrical fault analysis and lighting design software
Ability to accept a high degree of responsibility in a team-based organization, combined with ability to work independently
Excellent technical knowledge of electrical systems; ability to investigate, identify and provide solutions to technical problems
Excellent client-facing and communication skills (verbal and written), with experience presenting (you will often be required to present solutions directly to 'c-suite' level stakeholders)
Experience in the preparation of technical reports for delivery direct to a client audience
Ability to problem solve and propose multiple solutions to Clients and objectively summarize the benefits and shortfalls of each
What we offer:
Salary range of $100,000 to $155,000. This will be determined based on factors such as geographic location, skills, education, and/or experience
Generous benefits package
Annual discretionary bonus
Generous PTO (4-5 weeks depending on position) in addition to 10 paid holidays
401k with company match
Hybrid working & summer hours
Reimbursement for certain professional licenses and associated renewals and exam fees
Connection to global network of experts on the forefront of industry initiatives
Access to wide ranging learning and development opportunities
Be a part of supporting our diverse company culture through open engagement with our Young Employees Forum, Diversity and Inclusion Forum, Buro Happold Women's Network, and/or our Black at Buro Happold Employee Resource Groups
A place for everyone
Buro Happold values an individual's flexible approaches to working patterns as an important part of how you work and achieve balance. We welcome applications from those who are seeking flexibility in their careers. Our exceptional portfolio of projects is the result of the diversity of thought, identities, backgrounds, and experiences that shape us. Appreciating each other's differences is key, we want employees to feel they don't have to mask elements of their identity to thrive. We are working to change the lack of representation of marginalised groups in the built environment. We are particularly keen to hear from anyone who feels they are underrepresented in the industry. We have much to learn from one another. Embracing differences allows us to develop the most innovative and elegant solutions. Please let us know if there are any adjustments we could make to the application process to make it easier and more comfortable for you. Contact *************************** so we can work with you to support you throughout your application.
Founding Electronics Engineer
Electrical Engineer Internship Job 17 miles from Campbell
Who We Are
Swish Robotics is bringing embodied intelligence into the home, starting with Bento, an AI-powered cooking robot that prepares fresh meals in under 20 minutes. Backed by top investors like Accel Partners, we are building a team of world-class engineers, designers, and operators to define the future of home robotics.
We are looking for a Founding Electronics Engineer to lead the electrical systems behind our robotics platform. This is an opportunity to build and scale first-of-its-kind consumer robotics hardware while having a direct and lasting impact on our product and company trajectory.
The Role
As the Founding Electronics Engineer, you will take ownership of electrical architecture, PCB design, and embedded systems development for Bento. You will work alongside the founding team to drive core technology decisions, prototype rapidly, and bring a consumer hardware product to market by EoY.
What You'll Do:
- Own the Electronics Stack - Architect, design, and optimize all electrical subsystems for Bento.
- Circuit & PCB Development - Design, prototype, and iterate custom PCBs for power, sensing, and motor control, etc.
- Embedded Systems - Develop firmware for ESP32 and interface with Raspberry Pi 5.
- IoT & Communication Protocols - Implement robust communication (UART, SPI, I2C) between subsystems.
- Prototyping & Manufacturing - Rapidly iterate designs, select components, and prepare for mass production.
- Regulatory & Compliance - Ensure designs meet consumer safety and certification standards (FCC, UL, CE).
- Technical Leadership - Define and implement best practices for hardware engineering at Swish Robotics.
Who You Are
Required Qualifications:
- Experience in electronics engineering, PCB design, and embedded systems.
- Proven ability to take a product from prototype to mass production.
- Deep expertise in circuit design, power electronics, and embedded firmware development.
- Experience designing for consumer hardware, robotics, or electromechanical systems.
- Hands-on problem solver who thrives in a fast-paced, iterative startup environment.
- Strong understanding of IoT architectures and communication protocols (UART, SPI, I2C, MQTT).
- Experience working with contract manufacturers and suppliers.
Bonus:
- Experience with kitchen appliances, automation, or robotics.
Why Join Us?
Build Something Revolutionary - Be at the forefront of consumer robotics and embodied AI.
High Ownership & Impact - Your work will directly shape the future of Swish.
Equity - Meaningful equity in one of the fastest-growing robotics companies.
First 5 Employees - Help define the culture, vision, and technical foundation of our company.
Fast Execution - We move quickly, break things, and learn fast.
It's a Grind (But Worth It) - We're building ambitious hardware, which means long hours, high standards, and constant problem-solving. It's not easy-but if you're wired for this, there's nowhere more exciting to be.
Hardware Engineer
Electrical Engineer Internship Job 8 miles from Campbell
Pay Rate - $70/hr. on W2
Senior Hardware Engineer (Electronics Testing)
TOP 3 SKILLS:
- Proficiency with schematic and layout tools (OrCAD, Allegro), SI and thermal design considerations
- Required hands-on experience in board bring-up and debug, functional verification and manufacturing support
- Experience with defining hardware system specifications and creating and executing test plans
KEY RESPONSIBILITIES
• Test and bring-up FPGA and CPU based boards and systems
• Review system specification documents for architecture, electrical, power delivery, thermal, and mechanical requirements
• Work closely with in-house board design teams and external contractors to guide and review schematic, layout, thermal and mechanical design
• Work closely with software teams to support firmware and tools development
• Researching and selecting electronic components, reading datasheets and application notes, working with 3rd party partners and vendors to ensure selected devices meet requirements
KEY QUALIFICATIONS
• MUST have hands-on experience in board bring-up and debugging, functional verification, and manufacturing support
• Highly experienced in hardware bring-up and debugging using test equipment like Logic analyzer, oscilloscope basic soldering skills
• Highly experienced with one or more of the following:
o High-speed interfaces like PCIe, USB, Ethernet, high-speed serial
o Low-speed interfaces like UART, SPI, I2C, JTAG
o Power system design
• Experienced with defining system specifications for hardware systems and creating and executing a test plan
• Proficient with schematic and layout tools (OrCAD, Allegro), SI, thermal
• Innovative, critical thinking and troubleshooting skills
• Proficient written, verbal, negotiation, and communication skills
PREFERRED EXPERIENCE
• Familiarity with Open Compute Project standards and specifications
• x86 platform architecture
• Linux kernel/drivers
• C/C++, Verilog, TCL, Python
• Xilinx FPGAs and tools
ACADEMIC CREDENTIALS
• Bachelor's degree or higher in Electrical/Computer Engineering or Electronics/Computer Science related with a minimum 5 years of experience in hardware design and bring-up.