Product Designer (not UI/UX)
Computer Aided Design Designer Job In Austin, TX
Packed Party is a female-founded and led lifestyle gifting brand that encourages people to make every day a party with our fun and experiential products. Our items range from party packages to jewelry, drinkware, travel accessories, and more, all designed to bring smiles and start a party!
In May 2024, we joined the Bioworld family, a leading design and distribution company of licensed and private label apparel, headwear, and accessories across all retail channels. Packed Party operates as a standalone brand benefiting from Bioworld's global capabilities to achieve its goals of brand-building, category expansion, and distribution. We are seeking skilled candidates excited to join this amazing team!
POSITION OVERVIEW
We are looking for a talented and experienced Product Designer to join our team and help bring our products focused on celebratory living to life. In this role, you will work closely with our product development, sales, and leadership teams to create unique and innovative designs that resonate with our customers. Your work will directly contribute to the development of new product formats, from initial concept to production. As a key member of our design team, you'll have the opportunity to influence and shape the creative direction of our products, while contributing to the growth of a brand that's redefining the party experience.
*PLEASE INCLUDE YOUR PORTFOLIO when you respond.
**This is NOT a UI/UX position.
KEY RESPONSIBILITIES
Design Creation: Lead the design of new products, including surface prints, packaging, and display materials. From concept sketches to final production files, you'll ensure designs are visually appealing, functional, and aligned with brand values.
Collaboration: Partner closely with cross-functional teams including product development, and sales to understand customer needs and deliver designs that meet both aesthetic and practical requirements.
Beginning to End Design: Follow creative direction to design initial cad concepts, develop mock-ups, create tech s, complete art files, and develop packaging
Design Documentation: Prepare detailed design specs and production ready art files, working closely with Product Development and suppliers to ensure all details are documented to bring concepts to life
Market Research: Stay up to date with trends in design, packaging, and the party supply industry. Use insights from market research to influence design decisions and stay ahead of competitor offerings.
QUALIFICATIONS
Ideal candidate would:
Strong portfolio demonstrating a diverse range of design work and a deep understanding of current trends and product creation.
Problem-Solving Mindset: You thrive on solving design challenges and finding creative solutions to deliver visually appealing, functional, and market-ready products
Attention to Detail: Meticulous attention to detail in every aspect of your design work, from concept development to final production.
Strong time management skills and willingness to go above and beyond to meet deadlines and produce work of the utmost quality and brand integrity
Collaboration & Communication: Strong collaboration and communication skills, with an ability to work well in a fast-paced, team environment. You can articulate design concepts clearly and take constructive feedback
Proficiency in design software and tools, including Adobe Creative Suite
Preferred Skills:
Hand illustration expertise
Experience working in PLM
Experience designing party supplies and small accessories is strongly preferred
EDUCATION
4 year BA or BS college degree with at least 3 years of Design experience.
BUSINESS HOURS
Hours of operation: Monday-Friday: 8:30am - 5:30pm; Overnight travel is required as needed
Position location is Austin, TX. This is not a remote position.
Project Designer
Computer Aided Design Designer Job In Austin, TX
kc|ID, LLC is a boutique full-service interior design firm in Austin, TX that specializes in high-end residential, multi-family/student housing, and commercial projects ranging from hospitality to private healthcare offices. We are currently looking for a Project Designer with at least 5-6 years of experience in the industry. Exact salary range will be based upon Candidate's experience and skill level; kc|ID does provide paid time off, company holidays including employee birthday, continued education units, philanthropy opportunities, team building events, a SEP-IRA (following 1 year of employment), merit-based bonuses and monthly cell phone/mileage reimbursement. We do not provide healthcare at this time.
This full-time position offers an incredible opportunity for an energetic, self-motivated, highly organized, detailed, and dedicated individual looking to be a part of a friendly and well-respected design team while working in a fast paced, creative environment.
Expenses associated with the interview process and/or moving and relocation expenses will be the applicant's responsibility.
Responsibilities:
The Project Designer will work as an integral part of a set Design Team performing the following duties:
· Support the goals and objectives established by our Clients and the design team, as well as kc|ID at large. Overall involvement in and responsible for all aspects of assigned design projects/tasks, inclusive of internal and external project deadlines, schedule development, budget review and balancing, concept and solution creativity, scheme research and presentation, construction and specification documentation, construction administration, and installation. All tasks will be performed along with supervision and direction of Senior Designer and Principal.
· Collaborate directly and productively with all project team members, including Builder/General Contractor, Client, Vendors, Industry Partners, Consultants and any other 3
rd
parties involved in overall project success. Understanding of each parties' role, needs and typical process is required.
· Development and management of project budgets on both construction projects (finishes/fixtures) and FFE-focused efforts.
· Focus on unique and elegant design solutions, including review of Architectural plans for key design opportunities and research/development of overall project concept with proper coordination between project priorities such as budget, timeline and Client needs.
· Development of schematic design efforts, including space and furniture layouts, to accomplish approved programming and in accordance with local codes and industry standards.
· Research, selection, and presentation of design development, including finish materials, fixtures, furnishings, and accessories in line with project aesthetics, budget, client preferences and industry standards.
· Understanding of appropriate solutions for different types/markets of design projects to provide essential efficiency and elevated project development. Offer a familiarity with finish, fixture and furniture options that cover commercial and residential resources.
· Creation, review, and presentation development of client deliverables, both digital files and physical finish/fixture/furniture sampling.
· Participate and present progress work within team in-house design reviews; must be able to receive constructive feedback in a positive manner and respect Senior Lead input for revisions as deemed necessary/preferred.
· Flexibility to work with all Design Team members in different collaborative environments and in varied project progress points to achieve overall team/project goals.
· Participate in and possibly lead client meetings including setup prior to/break down after client meetings at office, client presentation during meetings, finish boards/digital presentations, site visits/as-built measurements, etc.
· Full documentation for execution of design concepts including but not limited to: full CAD construction/finish documentation, as-built CAD drawings, CAD drawing redlines, finish specifications/spec package development, 3D modeling and rendering, etc.
· Participate in the construction administration process of projects including review of finish submittals and samples, site visits, punch list development and maintenance, as well as filing and organizing pertinent construction administration communications and key documents.
· Collaborate with kc|ID Procurement Team on providing selected FFE specifications for order, budget/timeline confirmations, review of purchase orders, and ongoing timeline check ins to ensure no delays or reselections needed prior to a scheduled installation.
· Help to coordinate project furniture installations, along with kc|ID Procurement Team, and participate in full furniture, art and accessory installs, both locally and nationally when needed.
· Potential assistance with kc|ID marketing efforts including assembly of project materials for Marketing Team social media photography; involvement in project progress video documenting (either in-studio or on-site); content gathering while at showrooms, in meetings, on site, or at tradeshows - as requested by Marketing Team.
· Maintaining daily timesheet entries are required, as well as monthly mileage and expenses tracking/reporting.
· Understanding of project proposals, service budgets and time management will be required. Intermittent review of project invoicing and input on delegation to maintain contract budgets may be included.
The ideal candidate will have:
· Minimum 5-6 years of experience working on interior design projects in a collaborative Design Firm environment (both residential and commercial experience preferred).
· Bachelor's degree in Interior Design or related field from a CIDA (Council for Interior Design Accreditation) accredited college or university.
· NCIDQ Certification is preferred but not required at time of hire; certification will be expected within 2 years of hire. kc|ID provides support for any testing via additional time off and flexibility around studying/testing requirements.
· In depth knowledge of FF&E, color and material is required; Familiarity with the typical Furniture Procurement process preferred.
· Successful experience in all phases of an interior design project, from initial programming and client relations through schematic design/design development, construction documentations and administration and final punch list creation/review.
· Experience in development of Construction Documents and standard industry procedures related to such.
· Knowledge of/familiarity with local building and ADA codes, as well as understanding of resources for updated information and confirmations as required.
· Experience with local Austin permitting process pertaining to Commercial Projects is appreciated.
· Proficiency in AutoCAD, Google Sketch Up, Adobe Creative Suites (Adobe PDF, Photoshop, Illustrator and InDesign most notably), Microsoft Office Suite (Excel, Word, Outlook, Powerpoint), Slack. Familiarity with Function Fox timekeeping software and Ivy/Houzz Procurement software is a plus.
· The ability and willingness to travel intermittently for out-of-town projects and/or trade shows. Typically, travel can run anywhere from 2-5 days and is planned a least 1-2 weeks ahead of time, if not months.
· Familiarity with Mac equipment - kc|ID is a Mac based firm.
· Steady and reliable personal transportation. Project errands, meetings, site visits and other efforts require consistent access to a vehicle.
The ideal candidate will be:
· Flexible, willing and able to focus on multiple projects in various stages of development at one time.
· Passionate about all markets of design and excited to explore new types, sizes and scopes of projects.
· A planner who can complete tasks on schedule, including strong self-prioritizing and time-management skills with little to no supervision.
· Detail oriented and highly organized; offers excellent analytical and problem-solving skills.
· Able to work both independently and in a collaborative team environment led by a direct supervisor - kc|ID is a highly collaborative firm.
· Honest and trustworthy, with a positive attitude and friendly demeanor.
· Ready to collaborate in a mostly in-office environment. kc|ID requires in-office work during bulk of hours Monday-Thursday with flexible remote Fridays (pending any booked meetings or other in-person requirements).
Physical Design Engineer
Computer Aided Design Designer Job In Austin, TX
Role Title: Physical Design Engineer
Duration: 12+ months contract
General qualifications:
Experience: 10yrs+
Synopsys Fusion Compiler/ICC2 (Synthesis, DFT insertion, Place & Route, Chip Finishing, PT-SI STA, Timing Closure, PV (DRC/ERC/PERC/LVS)
Synopsys DC, DCG, DC TOPO, and FC Synthesis a plus
Synopsys Flow Development & SOC implementation methodologies that will be deployed and used by our Synopsys customer Physical Design Implementation team members
Familiar with Synopsys Lynx a plus
RTL Hand-over experience a plus for RTL to GDS
Experience with top-level floorplanning, bump-maps, RDL IO Pad/Ring creation/verification, power grid creation/verification, hierarchal floorplanning/partitioning
Solid experience with full SOC clocking methodologies (H-Tree, Structure Clocking, MS CTS for Top/Blocks with push/down & bottoms up approaches)
Highly proficient with SDC STA constraints development driving back-end tools for blocks and full-chip through timing closure & sign-off
Ability to define and understand sign-off requirements/margins based on Foundry technology requirements a plus
DFT experience with compression, scan, TDF, and MEMBIST a plus
Synopsys Formality for formal verification (RTL to Gate, Gate-to-Gate) & Formality ECO flows
Familiar with UPF flows & methodologies for multi-voltage power domains with turn on/turn off using UPF
Synopsys ICV for PV (Physical Verification - DRC/ERC/LVS/PERC)
Ansys Redhawk SC (For IR analysis for static, dynamic, & EMIR )
Experience in PD implementation/design closure on complex IP Sub-Systems such as PCIe, USB, MIPI, DDR, & HBM a plus
Experience with GlobalFoundries, TSMC, & Samsung technology nodes are a plus
Consultants should have a solid track record on execution delivering to high-quality standards for delivering to high quality tape-out.
Physical Design Engineer
Computer Aided Design Designer Job In Austin, TX
ASIC Physical Design Engineer
Full Time
Sunnyvale, California or Austin, Texas - Onsite
Note: No hybrid or remote
08+ years industry experience, BS EE
Job Description & Skill Requirement
The role requires individuals with experience in backend implementation from Netlist to GDSII in low power and high-performance designs to build efficient System on Chip (SoC) and IP for data center applications.
Block level floorplanning and physical design activities for one or more blocks.
Block level physical design includes floorplan, power plan, placement, CTS, timing analysis, and route optimization.
Signoff timing and physical verification closure.
As part of the block level implementation, you will need to ensure the floorplan is optimal, congestion issues are resolved, and timing is under control at every stage from synthesis, placement, CTS, and route stages.
Signoff tasks include Timing closure with crosstalk and OCV under Multi-Mode
Multi-corner conditions, Noise signoff, Physical verification including LVS, DRC, Antenna, and IR closure.
Flow development/automation
Qualification
Minimum Qualifications
Hands-on tape-out experience performing timing and physical verification closure on 5nm FinFET TSMC process or similar/lower technology nodes
Hands-on experience with block level physical design (Floorplanning to GDSII)
Experience with SoC level integration (multiple blocks, SoC floorplan, clocking, and timing analysis) preferred
Expertise in Cadence (Innovus) P&R, Synopsys PrimeTime/StarRC/ICV, Ansys Redhawk, and Mentor Graphics Caliber EDA tools
Proficiency in scripting languages, such as Makefiles, Tcl, Unix Shell, Python
Hands-on experience in writing scripts to improve or develop flow from scratch
Solid engineering understanding of the underlying concepts of IC design, implementation flows, and methodologies for deep submicron design
Preferred Qualifications
Experience in full chip floor planning, partitioning, budgeting, and power grid planning.
Experience with low power implementation, power gating, multiple voltage rails, UPF/CPF knowledge.
Experience in planning, implementing, and analyzing high-speed clock distribution networks. Experience with alternate strategies for clock distribution, including standard trees, mesh, H-Tree, and clock power reduction techniques.
Knowledge of static timing analysis and concepts, defining timing constraints and exceptions, corners/voltage definitions.
Knowledge of Circuit design, device physics, and deep sub-micron technology.
Experience in the physical design of data-path intensive designs.
Experience in the 3D-IC technology, methodology, and advanced packaging.
Experience in validating Power Distribution Network (PDN), IR/EM, Thermals for 3D-IC.
CAD Designer/Draftsman
Computer Aided Design Designer Job In Georgetown, TX
At Yalgo, we're not just a team; we're a family united by a shared passion for innovation and excellence. As the largest privately held land developer in central Texas, we pride ourselves on delivering projects that span from land acquisition to lot sales. What sets us apart is our commitment to doing things differently. We are a turn-key company, and we don't just design, engineer and develop - we construct with a unique approach that maximizes efficiency, value, and growth.
Due to rapid growth and demand, Yalgo Engineering, LLC is seeking a skilled CAD Technician/Draftsman to join our turnkey Development - Engineering - Construction organization.
The CAD Technician will provide crucial support to a team of engineers, working on various plans related to single-family residential development. The ideal candidate will have at least 4 years of experience in land development drafting, encompassing water, wastewater, streets, and drainage projects.
Support engineering teams in the preparation of detailed plans and drawings.
Collaborate with engineers to develop efficient and effective design solutions.
Ensure accuracy and compliance with industry standards and project requirements.
Manage multiple projects simultaneously, adhering to deadlines and maintaining high-quality output.
Communicate effectively with team members and stakeholders, providing updates and addressing any issues that arise.
Requirements
Minimum of 4 years of experience in land development drafting, including water, wastewater, streets, and drainage.
Comprehensive understanding of infrastructure planning and design to ensure informed decision-making.
Strong interpersonal skills and the ability to work independently.
Effective time management and organizational skills.
Excellent written and verbal communication skills.
Proven analytical thinking capabilities.
Benefits
Medical, Vision, and Dental coverage
401(K) Matching
Employee Bonus Plan
Personal Time Off (PTO)
Company Holidays
Employee Referral Program
Senior CAD Designer
Computer Aided Design Designer Job In Round Rock, TX
Job Details Round Rock - Headquarters - RRC Power and Energy - Round Rock, TX Full TimeDescription
RRC is seeking a talented Senior Civil 3D CAD Designer to help us build the future of U.S. energy production from our Round Rock, TX office. RRC is a multi-disciplinary engineering firm that specializes in energy projects, particularly renewable energy, throughout the United States. Founded in 2007, RRC provides a culture where employees are valued while contributing to meaningful projects. This is an opportunity to work at the forefront of the evolving energy production landscape.
RRC has a full-time opportunity for an experienced and qualified Senior Civil 3D CAD Designer at its office in Round Rock, Texas. Job applicants must have experience in civil design, including public and private roadway, drainage, and grading for application on wind and solar, utility, and civil infrastructure projects. Applications must be filled out in their entirety for consideration.
Must understand & personify RRCs Core Beliefs
• Client Satisfaction understands the goal of always exceeding our client's expectation
• Employee Happiness able to work well with others. communicate clearly with coworkers, promote a positive work environment and mediate conflicts between team members.
• Quality Work - Ability to take ownership, work independently, prioritize workload, and deliver quality results on time while working on multiple projects simultaneously.
• Above and Beyond - Versatility, flexibility, ability to anticipate the needs of the company, take the initiative and a willingness to go out of your way to assist others.
Main Responsibilities:
Lead the transformation of conceptual designs into detailed, high-quality working documents using AutoCAD Civil 3D and GIS tools.
Oversee the development of preliminary layouts and detailed final designs, ensuring integration with engineering notes, sketches, survey base maps, shapefiles, topographic and planimetric mapping, and using Vehicle Tracking.
Spearhead the preparation and execution of civil construction plans for wind and solar energy projects. This includes site layouts, roadway alignments, profiles, cross sections, drainage designs, complex grading schemes, erosion control, and detailed construction specifications.
Conduct thorough reviews of drawings and project deliverables to ensure completeness, accuracy, and alignment with project requirements and industry best practices.
Serve as a senior point of contact and coordinate closely with project management and engineering teams to align efforts, manage expectations, and drive project milestones to completion.
Implement and maintain document control systems. Ensure that all revisions and project-related information are systematically organized by team members and easily accessible.
Manage and prioritize multiple projects, and the ability to pivot quickly in response to changing project needs and timelines.
Create a collaborative environment within multi-disciplinary teams. Provide mentorship and guidance to junior staff.
Utilize advanced Civil 3D capabilities, including surface and corridor creation, pipe networks, grading tools, and Sheet Set Manager procedures.
Develop, implement, and adhere to advanced CAD standards and structured programs to streamline design processes and reduce design time.
Strong computer skills, including proficiency in Microsoft Office Suite.
Excel in verbal and written communication, presenting technical information clearly to both technical and non-technical stakeholders.
Education/Experience Requirements:
5-7 years of high-level experience in AutoCAD Civil 3D and GIS tools with a demonstrated track record of leading and delivering complex civil design projects.
Bachelor's degree in engineering, Drafting/Design, or in a related field. Bachelor's degree in civil engineering is preferred. Equivalent experience may be considered.
Strong knowledge of Civil 3D project organization, advanced drawing structures, and adherence to CAD industry standards, and GIS tools.
Strong proficiency in Microsoft Office Suite.
Experience in Renewable Energy and/or Oil and Gas is a plus.
Possess a valid U.S. driver's license.
Attention to detail with an ability to manage multiple complex tasks concurrently.
Benefits
RRC is committed to investing in talented employees because we recognize that healthy, happy employees provide the best path to sustaining a successful business. RRC offers competitive salaries and benefits for full-time employees, including:
Flexible work hours to accommodate work-life balance
Health, dental, and vision insurance
401k matching
Bonus eligibility
Tuition reimbursement for certain pre-approved education pursuits
RRC thanks you for your interest in joining RRC. Only candidates selected for an interview will be contacted.
Visit our website ******************** for more information.
No recruiters or staffing firms please.
be required to verify identity and eligibility to work in the United States and to complete the required employment eligibility verification document form upon hire.
All qualified applicants will receive consideration for employment without regard to race, color, religion, gender, gender identity or expression, sexual orientation, national origin, genetics, disability, age, or veteran status.
Bridge CAD Designer
Computer Aided Design Designer Job In Austin, TX
Why join us? It's an exciting time at AtkinsRéalis! We are rapidly growing in the US. Our company purpose is to build a better world for our planet and its people. We recognize the importance of making sure that our clients and employees, feel this purpose every day. AtkinsRéalis is proud of our company culture that promotes, diversity, equity and inclusion. Our company ethos include collaboration through the connection of people, data and technology. We are a global firm, who leverages having employees located throughout the world, creating valuable partnerships and doing our part to make this planet and its people, thrive. We need energetic, passionate and eager professionals like you to join our team. There has never been a better time to be a part of AtkinsRéalis!
AtkinsRéalis is looking for a Bridge CAD Designer to work on a variety of transportation related structural engineering projects across the US from our Austin, Texas office.
About Us:
AtkinsRéalis is one of the world's most respected design, engineering and project management consultancies. AtkinsRéalis has been providing infrastructure planning, engineering, construction, environmental consulting, urban planning, architecture, and program management services to public and private clients across the United States for more than 50 years. AtkinsRéalis has the depth and breadth of expertise to respond to the most technically challenging and time-critical infrastructure projects and the urgent transition to a low-carbon economy.
The successful candidate for this role can expect to work on the following types of projects:
CFX SR 414 Expressway, which is an estimated $365 million 54-span elevated viaduct in Maitland, FL. The piers will utilize pseudo match-cast overhang wings post-tensioned to a cast-in-place column. The maximum span is 300' and the structure is over 9000' long.
Broad Causeway Drawbridge Replacement, which is a $180 million high-level fixed water crossing over the Intercostal Waterway near Miami, FL. Atkins was selected for Planning, Concept Design, Final Design, CEI, and Post-Design services. **********************************************************************
I-70 FLOYD HILL - If you have ever skied the slopes west of Denver, you likely know the dangerous stretch of Interstate known as Floyd Hill. Our team will design approximately 6 miles to flatten the curves, reduce steep grade, and add lanes. Atkins was selected for this $560 million CMGC with segmental concrete bridges. *****************************************
Major Pursuits with Category 2 Structures: Selmon South Design Build THEA ($205 million). District 4 Southwest 10th Street Design-Build ($70 million), and other large-scale infrastructure projects around the country.
How will you contribute to the team?
* Plans, schedules, conducts or coordinates detailed phases of the engineering work in a part of a major project or in a total project of moderate scope.
* Typical duties may involve, depending on service, conceptual and final design of utilities, grading, pavement and drainage, electrical diagrams or mechanical details for structures or bridges, roadway alignment, architectural details, sanitary sewer pump station, or water & sewer systems/treatment plan design, etc.
* Researches, recommends and presents alternate design approaches based upon client request, governmental code requirements and other needs.
* Performs and supervises designs utilizing CAD.
* Coordinates, monitors and oversees design completion, permit applications, material quantities and cost estimates performed by junior designers and technicians.
* Assists junior professionals in making design decisions.
* May write specifications, feasibility studies, plans and preliminary reports.
* Organizes or directs field visits to collect data or resolve design conflicts.
* May train less experienced junior professionals, designers and CAD technicians as required.
* Performs such other duties as the Supervisor may from time to time deem necessary.
What will you contribute?
* College degree in related technical field. CAD training required.
* 3-6+ years of experience with bridge design.
* Proficiency in CAD; good interpersonal skills.
* TxDOT experience is required.
What we offer at AtkinsRéalis:
AtkinsRéalis realizes that health, mental and financial wellbeing, are all equally needed to achieve balance in life. We are pleased to offer a robust rewards package that help our employees have peace of mind in and outside of work.
Our benefit offerings address all of the areas that are part of living a healthy life. We recognize that what is important to people, continues to change. Some of our other benefits to ensure our employees feel supported, include continuing to offer health and dental coverage and a full list below. Our culture is one of providing support and training for our employees to thrive. We offer learning and development programs, training, career pathing opportunities, and a tuition reimbursement plan. At AtkinsRéalis, you will enjoy a robust rewards package which includes:
* Competitive salary
* Flexible work schedules
* Group Insurance
* PTO plus two Floating Holidays
* Paid Parental Leave (including maternity and paternity)
* Retirement Savings Plan with employer match
* Employee Assistance Program (EAP)
* An inclusive culture of Employee Resources Groups centered around women, African-Americans, Hispanics, LGBTQ+, Neurodiversity and Emerging Professionals
* A Foundation that is employee-funded with a 2-to-1 match from the company providing STEAM education for minorities from K-12 to college.
If this sounds like you and you would like to expand your career with us, apply today!
AtkinsRéalis is an equal opportunity, drug-free employer committed to diversity in the workplace. EOE/Minorities/Females/Veteran/Disability.
Please review AtkinsRéalis Equal Opportunity Statement here:
**************************************************************
AtkinsRéalis cares about your privacy and are committed to protecting your privacy. Please consult our Privacy Notice on our Careers site to know more about how we collect, use and transfer your Personal Data.
By submitting your personal information to AtkinsRéalis, you confirm that you have read and accept our Privacy Notice.
Upon acceptance of an offer, all candidates must go through a drug screen test and background check. AtkinsRéalis is a federal contractor which mandates a satisfactory background screening report and drug test that supersedes state laws.
Note to staffing and direct hire agencies:
In the event a recruiter or agency who is not on our preferred supplier list submits a resume/candidate to anyone in the company, AtkinsRéalis family of companies, we explicitly reserve the right to recruit and hire the candidate(s) at our discretion and without any financial obligation to the recruiter or agency. *****************************************************
Worker Type
Employee
Job Type
Regular
At AtkinsRéalis, we seek to hire individuals with diverse characteristics, backgrounds and perspectives. We strongly believe that world-class talent makes no distinctions based on gender, ethnic or national origin, sexual identity and orientation, age, religion or disability, but enriches itself through these differences.
Front-End Infrastructure / CAD (FE Design Verification)
Computer Aided Design Designer Job In Austin, TX
The FE Infrastructure/CAD team within Client is seeking a highly skilled and motivated Engineer with extensive experience in Front-End (FE) Design Verification flows, tools, and methodologies. This role requires deep technical expertise and experience across multiple verification tools and platforms, as well as a track record of solving complex challenges in FE infrastructure. Candidates should possess a specialized skill set that encompasses regression management, coverage analysis, RTL architecture, tool deployment, and extensive interaction with industry-leading EDA vendors. Key Responsibilities: • Development & Maintenance of Verification Flows: Design, support, and continuously improve highly complex, scalable, and efficient Verification flows, with a focus on ensuring robustness and efficiency. Collaborate on advanced flow-based regression tools and maintain them by providing consistent support and updates to meet evolving project demands. • Regression Management & Triage: Lead regression management systems, including flow-based regression support. Proactively manage regression triage, interactive user requirements, and troubleshoot issues to ensure seamless project continuity. Expertise in debugging and resolving issues related to coverage, regression fail triaging, and flow stability. • Advanced Coverage Analysis: Demonstrate expertise in coverage tools by actively debugging and resolving intricate coverage-related support and merge issues. Support end-to-end coverage analysis workflows, ensuring accurate data insights for coverage closure. • EDA Tool & Vendor Management: Act as the point of contact for VCS Synopsys issues, providing in-depth debugging, troubleshooting, and solutions to complex problems. Regularly liaise with Synopsys vendors and other EDA partners to coordinate tool support, resolve escalated issues, and stay updated on new developments, maintaining an open line of communication to ensure high-quality support for verification processes. • RTL Architecture Tool Deployment & Support: Successfully deploy and maintain tools for RTL Architecture, ensuring integration with FE verification flows and addressing any support requirements. Provide expert guidance on RTL architectural workflows and deployment best practices. • Advanced Tool Knowledge & Support: Provide specialized support for various verification tools, including VCS and IES with xprop modeling, and work with advanced functionalities like fcov_analyzer. Ensure compatibility across platforms and resolve any tool-specific issues in support of FE verification workflows. • Version Control Expertise: Leverage extensive knowledge of Git.
Requirements
Qualifications: • Proven experience in designing, maintaining, and troubleshooting FE Design Verification flows and CAD infrastructure for large-scale, high-performance projects. • Expertise in flow-based regression management, with strong analytical skills in debugging regression and coverage issues. • Familiarity with industry-standard tools including Synopsys VCS, IES, and RTL architecture tools, with hands-on experience in xprop configuration for VCS and IES. • Advanced knowledge of version control systems, particularly Git, Perforce, and Git-P4 interfaces, with an ability to support and streamline versioning workflows. • Strong communication skills, with experience working directly with EDA vendors to resolve issues and improve tool performance. • Ability to work independently and as part of a team, with a proactive approach to problem-solving and a strong attention to detail.
Civil CAD Designer
Computer Aided Design Designer Job In San Marcos, TX
Full-time Description
Civil CAD Designer will be responsible for efficiently producing site civil engineering and/or land development design plans. This position reports to the Civil Engineering Manager and works closely with the civil engineers and surveyors.
Requirements
Principal Duties and Responsibilities:
· Produce plans including paving, drainage, grading, water, wastewater, signage and marking plans for commercial and residential site development.
· Produce plan-profile drawings for public infrastructure improvement projects.
· Prepare drainage calculations, cut and fill calculations, survey “clean-up,” project coordination and attendance at meetings with clients and/or other consultants, site inspections, utility/record drawing research and permit processing through local government agencies as required.
· Visualize and plan the geographic representation of designs for land development related projects including residential, commercial, and municipal.
Job Requirements:
Knowledge, Skills & Abilities:
· Proficient in AutoCAD Civil 3D (Current Version) and associated programs.
· Perform basic design calculations.
· Work closely with Engineers and Surveyors to obtain project information and ensure drawings are accurate and complete.
· Work independently and as part of a team with direction from Engineers/Project Managers
· Strong written and communication skills.
Education & Experience:
· Minimum of high school diploma and technical school training with courses in computer-aided drafting or equivalent experience is required.
· Minimum 3 years of computer-aided drafting (CAD) experience on site and/or land development projects is required.
· Experience in commercial & residential land development, to include site improvement design, drainage, utilities, grading, etc.
Civil CAD Designer / Senior Designer
Computer Aided Design Designer Job In Austin, TX
We are looking for a dynamic Water/Wastewater Civil CAD Designer to join our multidisciplinary team. This role offers the opportunity to work on a variety of projects locally and nationally across several office locations. You will be part of the CAD Team, collaborating with professionals across different disciplines to deliver engineering solutions that meet our clients' needs.
Key Responsibilities:
As a Water/Wastewater CAD Designer, you will use your site civil experience with water, wastewater, recycled water, utility infrastructure, conveyance, and process modifications to provide engineering and environmental solutions. You will be responsible for producing and developing CAD drawings, understanding project workflows, and exercising independent judgment. Key drawing elements include site layout and design for horizontal control and paving plans, grading and drainage plans, yard piping, and erosion control for water, wastewater, and recycled water projects, including water transmission pipelines, sanitary sewer collection systems, tanks/reservoirs, pump stations, and treatment facilities.
* Prepare 3D models and layouts from design concepts using AutoCAD Civil 3D.
* Perform advanced drafting and design tasks in accordance with company, client, and industry standards.
* Coordinate multiple large or complex projects with other engineering disciplines to assist in the delivery of construction documents that meet scope, schedule, and budget.
* Communicate with supervisors, senior designers, and engineers regarding task execution.
* Perform engineering calculations, research vendor data, and check for code compliance.
* Apply company software and IT tools consistently and collaborate with other project team members.
* Identify conflicts in design and communicate solutions with project managers.
* Serve as CAD Lead on mid- to large-sized projects.
* Mentor team members on design delivery workflows and best practices.
* Review the work of others before delivery to the internal client.
Qualifications:
* 7 or more years of experience with site civil and pipeline plan/profile development.
* Proficiency in various drafting and design software, including but not limited to AutoCAD Civil 3D, Infraworks, ProjectWise, Bluebeam REVU, and Microsoft products. Knowledge of MicroStation and OpenRoads is a plus.
* Solid understanding of land surveying, code requirements, and industry standards where applicable.
* Knowledge of site civil and site piping engineering principles, including grading, drainage, volumetric calculations, site layout, and underground utility systems.
* Ability to collaborate and communicate effectively with multidisciplinary project teams.
* Strong writing, editing, and research skills.
* Ability to read and interpret engineering construction drawings.
Kennedy Jenks supports a healthy work-life balance and utilizes a hybrid work model to empower our team members to thrive and achieve their full potential.
The hourly range for this position is anticipated to be $35 to $45, depending on education, experience, qualifications, licensure/certifications, and geographic location.
#LI-Hybrid
Mechanical Designer - Food & Consumer Products
Computer Aided Design Designer Job In Austin, TX
SSOE is seeking a Mechanical Designer for our Food and Consumer Products division. Our Mechanical Designers are essential, working closely with our project teams, project managers and clients to implement the designs in both 2D and 3D environments, often working out the practical distribution solutions and coordinating with multiple disciplines. Mechanical Designers can expect to support projects involving process equipment systems integration, industrial utilities and process piping. They also cover a wide range of expertise, such as calculating sizing and routing paths of ducts and pipes and producing drawings from provided design data. This role will be based out of our Austin, Texas location.
What Makes SSOE a Great Place To Work
You don't have to be an employee long before you understand the SSOE difference. It goes back to our history of reinventing ourselves for new markets, serious rates of growth year after year, and being ahead of the curve in technology. Those are the achievements of a company that rewards talent and effort in ways that mean the most to the individual.
When you work at SSOE, you work with the best and you're a part of something bigger than yourself. And providing the best possible workplace for our employees gives us a competitive advantage-helping us attract and retain top talent and drive better business results.
Responsibilities
A Typical Day Might Include:
Applies standard design techniques and procedures exercising judgment in making minor adaptations and modifications to design concepts.
Receives instructions on specific assignment objectives, complex features, and assistance in deriving possible solutions to unusual problems.
Coordinates and directs the work effort of designers on the same project with some responsibility for checking portions of the completed drawings.
Prepares addendums and bulletins. Initiates project change orders for submittal to the project manager.
Attends construction meetings and produces site observation reports and final punch list. May trouble-shoot design problems in the field during construction.
Develops an understanding for other discipline's needs and requirements and relates them to own discipline and total project scope.
Researches design options and documents findings for project engineer/architect.
Checks design calculations and drawings.
Processes shop drawings; checks to ensure quality accuracy and completeness.
Has frequent external customer contact.
May have external customer contact to make sure equipment will reach the customers hit rates, layout, and custom pieces for the lines to work as a whole.
May be requested to attend training to develop supervisory skills.
May serve as job captain on single discipline projects.
May write portions of specifications and assist in preparing design and construction cost estimates.
Travel required.
Valid driver's license required. May be waived for international assignments.
Qualifications
Qualifications You'll Need on Day One:
Associate degree in the engineering or architectural fields preferred.
Experienced with food and/or beverage processing, packaging and material handling projects with Piping design experience being a plus.
Experience with AutoCAD 2D/3D required.
The following application competencies are also a plus: Plant 3D, Revit, Inventor, Navisworks/Cintoo
Experience with equipment layouts and system integrations.
8+ related designer experience.
Salary Range: $80,000-$90,000/year depending on location, education, experience, and any certifications that are directly related to the position.
Benefits include health, dental and vision insurance, life insurance, 401K, PTO & paid holidays.
#LI-SR1
#designer
#mechanical
Product Designer II
Computer Aided Design Designer Job In Austin, TX
We are a leading consumer tech company dedicated to creating seamless digital experiences that drive user engagement and business growth. Our mission is to design innovative solutions that enhance customer interactions and build intuitive, high-impact products. We foster a culture of collaboration, creativity, and data-driven decision-making, leveraging user research, AI, and cutting-edge technology to deliver meaningful experiences. If you thrive in an environment where you can make an impact, drive business outcomes, and use your talent to build meaningful experiences, Care.com is the place for you.
Work Environment: Hybrid (In office Monday, Wednesday & Thursday)
Locations: Salt Lake City, Utah | Austin, Texas | Dallas, Texas | New York, NY
Position Overview:
Are you ready to design user experiences that drive impact? We are seeking a mid-level Product Designer II to craft exceptional user experiences across our diverse business units, including Care.com, LifeMart, HomePay, and more. You will play a pivotal role in designing for web, iOS, and Android platforms, working closely with product managers, engineers, and marketing teams to craft high-performing, user-centric solutions. If you are passionate about solving complex design challenges and improving both user engagement and business outcomes, we want to hear from you!
What You'll Be Working On:
* Craft intuitive and visually compelling end-to-end user experiences for web and mobile platforms, ensuring usability, accessibility, and business impact. Your work will shape how users interact with our products, delivering both functional and delightful experiences.
* Design and implement A/B testing, user research, and usability studies to inform design decisions and measure performance.
* Work collaboratively with cross-functional teams, including product, engineering, and marketing, to define and execute key product strategies.
* Synthesize insights from both qualitative and quantitative data to iterate on designs and prioritize impactful features.
* Lead and facilitate design workshops focused on balancing user needs with business goals, optimizing retention, conversion, and overall product success.
What You'll Need to Succeed:
* 3-5 years of professional UX design experience working on consumer-facing products (web and native apps).
* A strong portfolio showcasing high-quality consumer-facing product design work.
* Proficiency in Figma, prototyping tools, and user testing platforms; experience with Adobe Creative Suite is a plus.
* Ability to effectively present design concepts and communicate ideas to stakeholders at all levels.
* Strong analytical skills and a passion for data-driven, iterative design processes.
* Excellent storytelling, interpersonal, communication, and facilitation skills.
* A collaborative mindset with experience working in an agile, fast-paced environment across multiple teams.
Company Overview:
Care.com, available in more than 20 countries, is the world's largest platform for finding and managing high-quality family care. Care.com is designed to meet the evolving needs of today's families, offering a comprehensive suite of services, including household tax and payroll solutions, corporate benefits packages, and innovations in caregiver compensation. With a focus on monetization, Care.com continually seeks ways to grow and enhance its offerings, from child and elder care to pet care and home services. As a part of IAC (NASDAQ: IAC), Care.com is positioned to lead the care industry's transformation.
Salary Range: $100,000 - $125,000
The base salary range above represents the anticipated low and high end of the salary range for this position. Actual salaries may vary based on factors including location, experience, and performance. This is just one component of Care.com's total compensation package, which includes bonuses, long-term incentives, and a variety of benefits like health insurance, 401(k) matching, paid time off, and more.
#LI-Hybrid
Mechanical Designer - Food & Consumer Products
Computer Aided Design Designer Job In Austin, TX
SSOE is seeking a Mechanical Designer for our Food and Consumer Products division. Our Mechanical Designers are essential, working closely with our project teams, project managers and clients to implement the designs in both 2D and 3D environments, often working out the practical distribution solutions and coordinating with multiple disciplines. Mechanical Designers can expect to support projects involving process equipment systems integration, industrial utilities and process piping. They also cover a wide range of expertise, such as calculating sizing and routing paths of ducts and pipes and producing drawings from provided design data. This role will be based out of our Austin, Texas location.
What Makes SSOE a Great Place To Work
You don't have to be an employee long before you understand the SSOE difference. It goes back to our history of reinventing ourselves for new markets, serious rates of growth year after year, and being ahead of the curve in technology. Those are the achievements of a company that rewards talent and effort in ways that mean the most to the individual.
When you work at SSOE, you work with the best and you're a part of something bigger than yourself. And providing the best possible workplace for our employees gives us a competitive advantage-helping us attract and retain top talent and drive better business results.
Responsibilities
A Typical Day Might Include:
Applies standard design techniques and procedures exercising judgment in making minor adaptations and modifications to design concepts.
Receives instructions on specific assignment objectives, complex features, and assistance in deriving possible solutions to unusual problems.
Coordinates and directs the work effort of designers on the same project with some responsibility for checking portions of the completed drawings.
Prepares addendums and bulletins. Initiates project change orders for submittal to the project manager.
Attends construction meetings and produces site observation reports and final punch list. May trouble-shoot design problems in the field during construction.
Develops an understanding for other discipline's needs and requirements and relates them to own discipline and total project scope.
Researches design options and documents findings for project engineer/architect.
Checks design calculations and drawings.
Processes shop drawings; checks to ensure quality accuracy and completeness.
Has frequent external customer contact.
May have external customer contact to make sure equipment will reach the customers hit rates, layout, and custom pieces for the lines to work as a whole.
May be requested to attend training to develop supervisory skills.
May serve as job captain on single discipline projects.
May write portions of specifications and assist in preparing design and construction cost estimates.
Travel required.
Valid driver's license required. May be waived for international assignments.
Qualifications
Qualifications You'll Need on Day One:
Associate degree in the engineering or architectural fields preferred.
Experienced with food and/or beverage processing, packaging and material handling projects with Piping design experience being a plus.
Experience with AutoCAD 2D/3D required.
The following application competencies are also a plus: Plant 3D, Revit, Inventor, Navisworks/Cintoo
Experience with equipment layouts and system integrations.
8+ related designer experience.
Salary Range: $80,000-$90,000/year depending on location, education, experience, and any certifications that are directly related to the position.
Benefits include health, dental and vision insurance, life insurance, 401K, PTO & paid holidays.
#LI-SR1
#designer
#mechanical
CAD Drafter
Computer Aided Design Designer Job In Austin, TX
Control Panels USA is seeking an experienced Electrical CAD Drafter to join our growing team for a full-time role in Austin/Georgetown, Tx The Electrical CAD Drafter will utilize plan drawings to develop detailed drawings for fabrication of Control Panels. They will also develop detailed design drawings and related specifications for the manufacturing of control panels.
Who we are:
Control Panels USA is a manufacturer of Control Panels and Relay Rack assemblies in a wide range of industries. We offer custom design and fabrication for OEM clients, contractors, and end users requiring either large or small production runs. Our factory in Austin, Texas houses state of the art engineering, manufacturing, and testing capabilities. This allows us to supply our customers with cost effective solutions while maintaining very competitive lead times. We strive to exceed our customer's expectations while providing the highest quality products and services to our clients in the most cost-efficient manner.
Why CP USA?
Join our amazing team! Here's what some of our employees have to say about CP USA:
Have always enjoyed working for CP USA. This is truly the best working environment I've ever worked in.
CP USA does an amazing job of making their employees feel appreciated. They also do great at recognizing strengths and giving people the opportunity to grow.
This is a great company to work for and the employee longevity shows it.
CP USA provides:
100% employer paid medical, dental and vision insurance for our employees.
401(k) with 50% matching
Paid time off
Reward & Recognition Bonus Program
Quarterly company events
And more!
Responsibilities include:
Create drawings in AutoCAD for our production team to complete panel cut-outs, back panel wiring, and a completed control panel.
Communicate and collaborate with project managers to ensure accuracy, design and industry standards.
Collaborate with our production team and project managers to resolve design and drawing issues.
Generate and/or revise schematic drawings, wiring diagrams, physical equipment assembly drawings.
Follow CP USA standards to ensure drawings are consistent.
Analyze markups from production and revise drawings to reflect finished product.
Review cost estimates to ensure correct parts and panels to ensure correct parts are utilized on drawings.
May create designs based on contract drawings and specifications.
Adhere to timelines as set forth by Project Managers
Provide sample project drawings upon interview.
Have the ability to work collaboratively and work with Project Managers and other departments to research tools needed to complete the project.
Have the ability to think outside of box and be creative when given generalized designs while staying within the parameters of the project.
Other tasks and assignments and/or projects, as requested by management.
Desired Skills & Experience:
Experience and/or exposure a plus in control panels/relay racks environment but not required.
3-5 years of experience using AutoCAD.
Proficient with Microsoft Office products (e.g. Word, Excel, etc.)
Ability to read and interpret engineering drawings including schematics and electrical product guidelines.
Strong oral and written communication skills
Strong organizational and multi-tasking skills
Ability to follow directions and procedures.
Ability to work on multiple projects at a time.
Have the ability to work both independently and in a team collaborative setting when needed.
Detail oriented, accuracy is a MUST.
Physical Requirements:
While performing the duties of this job, the employee is regularly required to talk, hear, use hands and fingers, reach with hands and arms, drive, sit and stand.
Industry:
Industrial automation
Municipal utilities
Electrical/electronic manufacturing
We are an Equal Opportunity Employer.
Architectural Designer
Computer Aided Design Designer Job In Austin, TX
At BSA LifeStructures, we design spaces that inspire and enhance healing, learning, and discovery. We are currently seeking an Architectural Designer to join our Austin team, contributing to LifeStructures that make a meaningful impact on communities.
What You'll Do:
Collaborate with the architectural team to develop design concepts that balance aesthetics, functionality, and client specifications.
Prepare design documentation, including construction drawings, renderings, and visual presentations.
Support project delivery through all phases, from design development to construction administration.
Participate in client meetings, design reviews, and site visits to gain a comprehensive understanding of project lifecycles.
Conduct research to inform and enhance design solutions.
Take ownership of assigned portions of projects, ensuring they are completed accurately and within established timelines.
Contribute to improving design processes by collaborating with team members.
Pursue professional development, including certification and licensure (if applicable).
Participate in project debriefs and lessons-learned sessions to support continuous improvement.
Education and Experience:
Educational Background: Accredited Bachelor's or Master's Degree in Architecture.
Experience: 0-2 years of applicable experience.
Why BSA LifeStructures?
At BSA LifeStructures, we empower our team members to make a meaningful impact. As a 100% employee-owned firm, you'll have a direct financial stake in our growth and success while benefiting from a collaborative and innovative environment. Join us and be part of a team dedicated to creating spaces that support healing, learning, and discovery.
Exceptional Benefits:
We offer a comprehensive benefits package from day one, including:
Medical, dental, and vision coverage
Flexible Time Off (FTO)
Parental leave
Hybrid work schedule
Paid volunteer time and a giving back Program
Employer-matched retirement funds and Employee Stock Ownership Plan (ESOP)
Modern, collaborative work environment with free parking at all studios
Why You'll Thrive at BSA LifeStructures:
Our core values define us:
Partners: We value team success as much as individual achievements.
Purposeful: Our designs are rooted in creating inspired solutions for healing, learning, and discovery.
Learners: We embrace growth, striving for expertise and sharing knowledge.
Owners: As employee-owners, we're empowered to think and act with purpose.
Ideal Team Players: We are humble, hungry, and smart.
If these values resonate with you, we encourage you to apply and take the next step in your architecture career with BSA LifeStructures!
BSA LifeStructures has been named one of the 2024 Best Places to Work in Austin, an award based entirely on employee feedback. This recognition is based on employee evaluations in areas such as trust in leadership and communication.
BSA LifeStructures is an Equal Opportunity Employer.
Mechanical Designer
Computer Aided Design Designer Job In Cedar Park, TX
Job Purpose The Mechanical Designer is a design team member providing definition of components that meet customer, partner and program needs. This role will work with engineering, supply chain and other business functional teams to deliver complex engine designs. The Mechanical Designer will utilize their expertise in 3D modeling and drafting while participating in section, department and business wide continuous improvement and simplification initiatives.
Duties and Responsibilities
* Work within defined parameters and work plans to meet day-to-day and short-term objectives of moderate complexity and resolve issues through immediate action or short-term planning.
* Utilize engineering and design experience or expertise to solve problems and advance the state of the art of additive manufacturing technology.
* Lead key technical initiatives with engineering ownership, project planning and on time delivery to the customer.
* Create definition of parts, modules, and assemblies in the form of 2D cross sections, 2D drawings, 3D reusable models in a linked model environment, tolerance stack-ups, change in design co- authoring and various other electronic data formats to support analysis, manufacturing, assembly, tooling, quality and inspection within expected cost, quality and schedule.
* Work with engineering and supply chain partners to determine dimensional constraints and geometric tolerances required for proper clearances and fits while maximizing manufacturing producibility
* Ensure all interfaces between components are established and agreed upon by Engineering design teams of mating parts and engine systems
* Save applicable models to the engine assembly then maintain and support updates
* Continuously challenges self and team skills in functional area
* Stay current with industry developments in one's field of expertise
* Work on government secured program with varying ranges of security clearance
* Adhere to special security requirements and those pertaining to the special access environment
* Concurrently manage multiple projects to ensure program cost, quality and schedule requirements are met
* Participate in short and long-term team, section and/or business goals focused on continuous improvement and simplification
* Additional duties and responsibilities as assigned, needed, or required for the business.
Qualifications
Reasonable accommodations may be made to enable individuals with disabilities to perform the essential functions.
Qualifications include:
* Education, Experience and Certifications
* Associate's degree in a drafting related field from an accredited university or college and/or 5+ years experience in a product definition or CAD role is required.
* Working knowledge of geometric dimensioning and tolerancing as it related to individual parts and overall assemblies (ANSI Y145).
* Experience with model meshing and analysis.
* Experience in CAD working with Siemens NX/Teamcenter.
* Experience with EOSPRINT, Concept Laser WRX or other equivalent 3D Printing software.
* Skills and Abilities
* Familiarity with DMLM printing machines.
* Strong follow-through and self-starting nature.
* Ability to communicate clearly concisely, both orally and in writing and to follow through on commitments.
* Competency with Microsoft Office Suite.
* Ability to work well in a high-paced environment, set and meet appropriate deadlines.
* Experience with NX Surfacing/Mechanical routing a plus.
Role Classification and Working Conditions
This is an hourly, non-exempt-level position. This position typically works in a production/assembly/engineering lab environment. Overtime may be required.
Physical/Other Requirements
* Ability to lift and/ or move up to 25 pounds.
* Crouching: Bending the body downward and forward by bending leg and spine.
* Reaching: Extending hand(s) and arm(s) in any direction.
* Standing: Remaining upright on the feet, particularly for sustained periods of time.
* Sitting: Remaining in a chair, particularly for sustained periods of time.
* Walking: Moving about on foot to accomplish tasks, particularly for long distances or moving from one work site to another.
* Talking: Expressing or exchanging ideas by means of the spoken word; those activities where detailed or important spoken instructions must be conveyed to other workers accurately, loudly, or quickly.
* Hearing: Perceiving the nature of sounds at normal speaking levels with or without correction and having the ability to receive detailed information through oral communication and making fine discriminations in sound.
* Repetitive motions: Making substantial movements (motions) of the wrists, hands, and/or fingers.
* The worker is required to have close visual acuity to perform an activity such as: preparing and analyzing data and figures; viewing a computer terminal; extensive reading; visual inspection involving small defects, small parts, and/or operation of machines (including inspection); using measurement devices; and/or assembly or fabrication of parts at distances close to the eyes.
* The noise level in the work environment is usually moderate.
* Tolerance for flying.
We are not accepting candidates who require sponsorship for this position at this time.
Lead Product Designer, Design Systems
Computer Aided Design Designer Job In Austin, TX
Recognized as the No. 1 site trusted by real estate professionals, Realtor.com has been at the forefront of online real estate for over 25 years, connecting buyers, sellers, and renters with trusted insights and expert guidance to find their perfect home. Through its robust suite of tools, Realtor.com not only makes a significant impact on the real estate industry at large, but for consumers, navigating the biggest purchase they will make in their life, by providing a user experience that is easy to use, easy to understand, and most of all, easy to make decisions.
Join us on our mission to empower more people to find their way home by breaking barriers to entry, making the right connections, and building confidence through expert guidance.
Are you a design systems expert? Are you looking to drive the growth and evolution of our design system? If you want to expand your professional goals at Realtor.com, this is the role for you. Join us as our newest Lead Product Designer, Design Systems and continue to Love Your Career at Realtor.com,
We are looking for a Lead Designer to drive the growth and evolution of our Design System, ensuring our products maintain a cohesive, scalable, and accessible user experience. In this role, you'll take a systematic approach to design, building a foundation that enables teams to create high-quality, consistent interfaces across web and mobile.
This position requires a balance of strategic leadership and hands-on execution, focusing on refining our UI components, interaction patterns, and design standards. You'll collaborate closely with Product, Engineering, and Design teams to strengthen adoption, improve workflows, and ensure the design system continues to meet the needs of a growing organization.
Top reasons to apply
* Make a lasting impact by shaping the future of our Design System and enabling teams to deliver exceptional user experiences.
* Work in a high-visibility role, partnering with leaders across Product, Engineering, and Design.
* Be part of a collaborative, innovative environment that values accessibility, consistency, and scalability.
* Opportunities for growth, mentorship, and leadership development as you help refine design processes and standards.
What you'll do:
Design System Leadership & Growth
* Lead the ongoing development, documentation, and optimization of our Design System, ensuring it scales effectively across web, mobile web (mWeb), iOS, and Android to deliver a consistent and high-quality user experience across platforms.
* Identify gaps, refine existing components and interaction patterns, and introduce new solutions that align with usability, accessibility, and brand standards.
* Maintain and evolve, design tokens, Figma libraries, and guidelines, ensuring clarity and usability for teams across the organization.
* Maintain and refine the contribution model, ensuring teams can effectively enhance the system while preserving consistency and quality.
Collaboration Across Teams
* Work closely with engineering teams to refine component architecture, design tokens, and front-end implementation strategies.
* Support product teams in integrating system components while balancing flexibility with standardization.
* Advocate for best practices in UI/UX design, ensuring designers and developers have the resources they need to create high-quality interfaces.
* Communicate the value and impact of the Design System across the organization, fostering alignment and adoption.
Accessibility & Inclusive Design
* Ensure all system components meet WCAG standards, embedding accessibility principles into design and development workflows.
* Collaborate with engineering, and product teams to uphold accessibility testing processes and compliance measures.
* Promote an inclusive design mindset, ensuring our products serve a diverse range of users.
Optimization & Continuous Improvement
* Gather feedback from designers, developers, and stakeholders to refine the system based on real-world usage.
* Track adoption trends, efficiency gains, and usability insights, using data to inform enhancements.
* Stay ahead of emerging trends in design, front-end technology, and tooling to ensure the design system evolves with industry best practices.
What You'll Bring
Experience & Expertise
* 10+ years of experience in UI/UX design, with a strong emphasis on Design Systems, UX/UI, and scalable design patterns.
* Bachelor's degree or equivalent experience.
* 3+ years of experience leading design system initiatives in a product-driven organization.
* A portfolio showcasing design system contributions, scalable UI frameworks, and cross-platform design solutions.
* Proficiency in Figma, design tokens, component libraries, with experience maintaining structured design documentation.
* Strong understanding of front-end technologies (HTML, CSS, JavaScript frameworks) and how design systems integrate into development workflows.
* Deep knowledge of accessibility standards (WCAG, ARIA) and inclusive design principles.
* Experience working in cross-functional teams, collaborating with product managers, designers, and engineers to solve complex design challenges.
* Excellent communication and storytelling skills-able to articulate design decisions, influence stakeholders, and drive system adoption.
* A passion for scalable, modular design and commitment to continuous improvement.
How we Reward you:
Realtor.com is committed to investing in the health and wellbeing of our employees and their families. Our benefits programs include, but are not limited to:
* Inclusive and Competitive medical, Rx, dental, and vision coverage
* Family forming benefits
* 13 Paid Holidays
* Flexible Time Off
* 8 hours of paid Volunteer Time off
* Immediate eligibility into Company 401(k) plan with 3.5% company match
* Tuition Reimbursement program for degreed and non-degreed programs
* 1:1 personalized Financial Planning Sessions
* Student Debt Retirement Savings Match program
* Free snacks and refreshments in each office location
Do the best work of your life at Realtor.com
Here, you'll partner with a diverse team of experts as you use leading-edge tech to empower everyone to meet a crucial goal: finding their way home. And you'll find your way home too. People are our foundation-the core that drives us passionately forward. At Realtor.com, you'll bring your full self to work as you innovate with speed, serve our consumers, and champion your teammates. In return, we'll provide you with a warm, welcoming, and inclusive culture; intellectual challenges; and the development opportunities you need to grow.
Diversity is important to us, therefore, Realtor.com is an Equal Opportunity Employer regardless of age, color, national origin, race, religion, creed, gender, sex, sexual orientation, gender identity and/or expression, marital status, status as a disabled veteran and/or veteran of the Vietnam Era or any other characteristic protected by federal, state or local law. In addition, Realtor.com will provide reasonable accommodations for otherwise qualified disabled individuals.
Staff Product Designer, Documents
Computer Aided Design Designer Job In Austin, TX
Documents like drawings, specifications, and 3D models are the foundation of construction projects as thousands of files are monitored, reviewed, shared, and collaborated on throughout the entire construction project lifecycle. Ensuring teams are working off of the right documents at the right time is mission-critical for our customers. That's why we're looking for a
Staff Product Designer
to help us build an entirely new and innovative document management solution.
As a
Staff Product Designer
, you'll partner with Product and Engineering teams to understand our user's and businesses' needs, shape the product strategy, and craft compelling visions that drive alignment and shared outcomes. You will work with a team of designers to deliver intuitive and robust designs related to how users upload, review, organize, and access any document during any phase of the construction process, on any device.
Construction impacts the lives of nearly everyone in the world, and yet it's also one of the world's least digitized industries. If you have a passion for solving problems through thoughtful design and proven experience envisioning complex, enterprise SaaS experiences-we'd like to hear from you!
This position will report to the Senior Director of Design, Preconstruction and is based in Austin Texas. We're looking for someone to join us immediately.
What you'll do:
Become well-versed in the global construction industry and its diverse challenges to guide the value and experience you're creating for our customers
Design and validate new experiences through vision presentations, prototypes, mockups, wireframes, flow diagrams, sketches, and other design artifacts that communicate your ideas
Work across multiple, cross-functional agile product development teams in partnership with Product Managers, Designers, and Engineers to set the product's strategy and roadmap, and create innovative solutions based on research
Conduct generative research and usability tests with Procore users, both online and in-person at job sites around the world
Design and facilitate discovery and ideation workshops to drive cross-functional collaboration and decision-making
Advocate for the user and evangelize user experience throughout the organization
Collaborate closely with other designers and product teams, as you contribute to the Procore platform
Promote a positive culture within your product team as well as your division, and overcome challenges through endurance, grit, and persistence
Leverage and advocate for the patterns, content, and solutions created by our Design System, Content Strategy, Research, and DesignOps teams, and make contributions that provide value to the department
Balance customer empathy with horizontal solution thinking-recognize platform approaches that solve multiple problems at once, creating strategic leverage for Procore and a seamless, platform experience for our users
What we're looking for:
Degree in graphic design, HCI, product design, a related area of study, or equivalent work experience
7+ years of experience designing world-class apps with a strong portfolio showcasing your research process and design work
Proficiency with leading design software such as Figma and experience with prototyping tools
Curiosity about the way people think and human behavior
Excellent communication skills with a proven track record of presenting designs to cross-functional teams and evangelizing design to the organization
Familiarity with iOS/Android design standards and passion for interaction design
Experience partnering with Product and Engineering to achieve impactful outcomes in an agile product development environment
Comfortable leveraging data to guide design decisions that exceed product and user outcomes
Additional Information
Base Pay Range $168,560 - $231,770. Eligible for Bonus Incentive Compensation. Procore is committed to offering competitive, fair, and commensurate compensation, and has provided an estimated pay range for this role. Actual compensation will be based on a candidate's job-related skills, experience, education or training, and location.
Perks & Benefits
At Procore, we invest in our employees and provide a full range of benefits and perks to help you grow and thrive. From generous paid time off and healthcare coverage to career enrichment and development programs,
learn more details
about what we offer and how we empower you to be your best.
About Us
Procore Technologies is building the software that builds the world. We provide cloud-based construction management software that helps clients more efficiently build skyscrapers, hospitals, retail centers, airports, housing complexes, and more. At Procore, we have worked hard to create and maintain a culture where you can own your work and are encouraged and given resources to try new ideas. Check us out on
Glassdoor
to see what others are saying about working at Procore.
We are an equal-opportunity employer and welcome builders of all backgrounds. We thrive in a diverse, dynamic, and inclusive environment. We do not tolerate discrimination against candidates or employees on the basis of gender, sex, national origin, civil status, family status, sexual orientation, religion, age, disability, race, traveler community, status as a protected veteran or any other classification protected by law.
If you'd like to stay in touch and be the first to hear about new roles at Procore, join our
Talent Community
.
Alternative methods of applying for employment are available to individuals unable to submit an application through
this site
because of a disability. Contact our benefits team
here
to discuss reasonable accommodations.
For Los Angeles County (unincorporated) Candidates:
Procore will consider for employment all qualified applicants, including those with arrest or conviction records, in accordance with the requirements of applicable federal, state, and local laws, including the City of Los Angeles' Fair Chance Initiative for Hiring Ordinance, the Los Angeles County Fair Chance Ordinance for Employers, and the California Fair Chance Act.
A criminal history may have a direct, adverse, and negative relationship on the following job duties, potentially resulting in the withdrawal of the conditional offer of employment: 1. appropriately managing, accessing, and handling confidential information including proprietary and trade secret information, as well as accessing Procore's information technology systems and platforms; 2. interacting with and occasionally having unsupervised contact with internal/external customers, stakeholders, and/or colleagues; and 3. exercising sound judgment.
Drafter
Computer Aided Design Designer Job In Manor, TX
Full-time Description
Since 2003 Falcon Structures has pioneered shipping container-based structures. We are now a thought leader in our industry and one of the largest container-based manufacturers in the country.
We are looking for enthusiastic, hardworking individuals to join our growing team and help us continue to create the best container-based structures in the country. Our customers include all branches of the military, oil and gas, aerospace, real estate, sports facilities, and more.
Everyone at Falcon participates in our collective mission to build a better world each day.
Falcon Structures provides equal employment opportunities to all employees and applicants for employment and prohibits discrimination and harassment of any type without regard to race, color, religion, age, sex, national origin, disability status, genetics, protected veteran status, sexual orientation, gender identity or expression, or any other characteristic protected by federal, state or local laws.
This policy applies to all terms and conditions of employment, including recruiting, hiring, placement, promotion, termination, layoff, recall, transfer, leaves of absence, compensation, and training.
Job Description
Falcon Structures is seeking an experienced Drafter to join Falcon Structures growing Design and Engineering team. The Senior Drafter will contribute to the design team, produce customer-facing approval drawings, bills of material, and production drawings using concept plans, details, and specifications.
Duties & Responsibilities:
Complete assigned drafting tasks accurately and on time.
Review drawings, details, and scheduled items prior to use by internal and external customers.
Work with engineering to set up non-standard items in the parts / procurement system for review and approval.
Report drafting activities to management.
Identify areas of improvement.
Support production with availability to answer questions during production hours (7:00 am to 3:30).
Requirements
Skills & Abilities:
High School Diploma with training or experience in construction science or trades.
Familiar with various construction processes.
Extensive capabilities using AutoCAD and SolidWorks.
Preferred Education and Experience:
Associates degree
5 years' experience using AutoCAD, SolidWorks and/or other 3D modeling systems.
Good working knowledge of construction means and methods for framing, finishes, plumbing, electrical, etc.
Salary Description $18-$22 per hour DOE
Electrical Designer/EIT
Computer Aided Design Designer Job In Austin, TX
HIRING AN ELECTRICAL DESIGNER/EIT IN AUSTIN
ACCOUNTABILITY Perform design duties on all projects while working with other designers and BIM design staff to deliver a quality product on schedule. Interacts with other designers and clients as authorized by Project Manager.
ESSENTIAL FUNCTIONS
Learn and apply DBR design standards per appropriate design manual and as directed by the Practice Area Leader (PAL)
Provide schematic design information to Project Manager or Technical/Training Mentor such as preliminary calculations, design distribution, equipment sizing, and providing cut sheets of all equipment proposed
Make calculations using engineering formulas and software for design. Responsible for reviewing all calculations with Technical/Training Mentor
Research and select equipment based on Technical/Training Mentor recommendations and fill out schedules
Prepare drawings and sketches to incorporate into final drawings
Research design options as directed by Project Manager or Technical/Training Mentor. Document and share that research
Research code issues
Assure that drawings and designs are in accordance with company production and design standards
Keep Project Manager or Technical/Training Mentor informed of workload
Prepare preliminary task list
Edit specifications
Incorporate design concepts from schematic design into design development and work with project team to produce construction documents
Organize and maintain project files. Maintain neat and organized work area, which allows efficient access to information required by other team members
Solve engineering problems using experience, judgment and education but is expected to ask for assistance when needed
Continue learning process by attending seminars and continuing education opportunities
Perform additional assignments as requested/needed
EDUCATION & EXPERIENCE
Bachelor s Degree (in Electrical Engineering or Architectural Engineering)
Technical/Associate Degree with 1 year of related work experience
High School Diploma/GED with 2 years of related work experience
This is not designed to cover or contain a comprehensive listing of activities, duties or responsibilities that are required of the employee. Duties, responsibilities and activities may change or new ones may be assigned at any time with or without notice. Employees will be required to follow any other job-related instructions and to perform any other job-related duties requested by their supervisor. This job description may be revised upon development of other duties and changes in responsibilities.